Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
 

 
Software Version and Target Device
Product Version: ISE:14.1 (ISE) - P.15xf Target Family: Kintex7
OS Platform: NT64 Target Device: xc7k325t
Project ID (random number) a554b50dcb18451ca35be6f2e03da3db.CD3048388ACD4377926B999338429CD3.17 Target Package: ffg900
Registration ID 176578898_203016821_205335145_469 Target Speed: -2
Date Generated 2012-08-08T23:51:40 Tool Flow ISE
 
User Environment
OS Name Microsoft Windows 7 , 64-bit OS Release Service Pack 1 (build 7601)
CPU Name Intel(R) Core(TM) i7-2600K CPU @ 3.40GHz CPU Speed 3502 MHz
OS Name Microsoft Windows 7 , 64-bit OS Release Service Pack 1 (build 7601)
CPU Name Intel(R) Core(TM) i7-2600K CPU @ 3.40GHz CPU Speed 3502 MHz
 
Device Usage Statistics
Macro StatisticsMiscellaneous StatisticsNet StatisticsSite Usage
Accumulators=1
  • 32-bit updown loadable accumulator=1
Adder Trees=1
  • 2-bit / 5-inputs adder tree=1
Adders/Subtractors=277
  • 1-bit adder=37
  • 10-bit adder=1
  • 12-bit adder=1
  • 12-bit subtractor=1
  • 2-bit adder=23
  • 2-bit adder carry in=1
  • 2-bit subtractor=22
  • 3-bit adder=41
  • 3-bit subtractor=6
  • 32-bit adder=2
  • 4-bit adder=14
  • 4-bit subtractor=3
  • 5-bit adder=12
  • 5-bit subtractor=3
  • 6-bit adder=64
  • 6-bit adder carry in=1
  • 6-bit addsub=2
  • 6-bit subtractor=18
  • 7-bit adder=4
  • 7-bit subtractor=20
  • 8-bit adder=1
Comparators=356
  • 1-bit comparator equal=190
  • 12-bit comparator lessequal=2
  • 14-bit comparator equal=4
  • 2-bit comparator equal=24
  • 2-bit comparator greater=1
  • 2-bit comparator lessequal=3
  • 28-bit comparator not equal=8
  • 3-bit comparator equal=26
  • 3-bit comparator greater=7
  • 3-bit comparator lessequal=5
  • 4-bit comparator greater=13
  • 4-bit comparator lessequal=6
  • 5-bit comparator equal=2
  • 5-bit comparator greater=7
  • 6-bit comparator equal=1
  • 6-bit comparator greater=41
  • 6-bit comparator lessequal=6
  • 6-bit comparator not equal=8
  • 8-bit comparator greater=1
  • 9-bit comparator greater=1
Counters=124
  • 1-bit up counter=6
  • 10-bit up counter=3
  • 12-bit up counter=2
  • 14-bit up counter=1
  • 16-bit up counter=1
  • 2-bit down counter=8
  • 2-bit up counter=11
  • 20-bit down counter=1
  • 3-bit down counter=6
  • 3-bit up counter=10
  • 4-bit down counter=4
  • 4-bit up counter=35
  • 5-bit down counter=2
  • 5-bit up counter=8
  • 6-bit down counter=10
  • 6-bit up counter=4
  • 6-bit updown counter=1
  • 7-bit up counter=1
  • 8-bit down counter=2
  • 8-bit up counter=3
  • 9-bit down counter=2
  • 9-bit up counter=3
FSMs=68 Logic shifters=21
  • 1-bit shifter logical left=9
  • 285-bit shifter logical right=1
  • 286-bit shifter logical right=1
  • 45-bit shifter logical right=1
  • 6-bit shifter logical right=3
  • 62-bit shifter logical right=1
  • 635-bit shifter logical right=1
  • 90-bit shifter logical right=4
MACs=1
  • 4x3-to-9-bit MAC=1
Multiplexers=4163
  • 1-bit 2-to-1 multiplexer=2395
  • 1-bit 4-to-1 multiplexer=24
  • 1-bit 64-to-1 multiplexer=192
  • 1-bit 8-to-1 multiplexer=12
  • 10-bit 2-to-1 multiplexer=3
  • 12-bit 2-to-1 multiplexer=6
  • 128-bit 2-to-1 multiplexer=1
  • 14-bit 2-to-1 multiplexer=16
  • 14-bit 4-to-1 multiplexer=1
  • 16-bit 15-to-1 multiplexer=8
  • 16-bit 2-to-1 multiplexer=21
  • 16-bit 8-to-1 multiplexer=2
  • 17-bit 2-to-1 multiplexer=1
  • 18-bit 2-to-1 multiplexer=40
  • 19-bit 2-to-1 multiplexer=24
  • 2-bit 2-to-1 multiplexer=57
  • 21-bit 2-to-1 multiplexer=22
  • 22-bit 2-to-1 multiplexer=2
  • 24-bit 2-to-1 multiplexer=7
  • 26-bit 2-to-1 multiplexer=1
  • 3-bit 2-to-1 multiplexer=138
  • 3-bit 8-to-1 multiplexer=5
  • 32-bit 2-to-1 multiplexer=368
  • 4-bit 2-to-1 multiplexer=93
  • 40-bit 2-to-1 multiplexer=3
  • 48-bit 2-to-1 multiplexer=7
  • 5-bit 2-to-1 multiplexer=64
  • 5-bit 8-to-1 multiplexer=1
  • 56-bit 2-to-1 multiplexer=1
  • 6-bit 2-to-1 multiplexer=571
  • 6-bit 4-to-1 multiplexer=5
  • 6-bit 8-to-1 multiplexer=2
  • 64-bit 2-to-1 multiplexer=2
  • 7-bit 2-to-1 multiplexer=8
  • 72-bit 2-to-1 multiplexer=1
  • 8-bit 2-to-1 multiplexer=3
  • 80-bit 2-to-1 multiplexer=19
  • 9-bit 2-to-1 multiplexer=33
  • 9-bit 4-to-1 multiplexer=4
Multipliers=5
  • 3x2-bit multiplier=2
  • 4x2-bit multiplier=1
  • 4x3-bit multiplier=1
  • 5x3-bit multiplier=1
RAMs=38
  • 16x19-bit single-port distributed Read Only RAM=8
  • 2x80-bit dual-port distributed RAM=8
  • 4x2-bit single-port distributed Read Only RAM=8
  • 4x3-bit single-port distributed Read Only RAM=1
  • 8x8-bit single-port distributed Read Only RAM=2
  • 8x80-bit dual-port distributed RAM=11
Registers=14593
  • Flip-Flops=14593
Xors=1
  • 1-bit xor5=1
MiscellaneousStatistics
  • AGG_BONDED_IO=138
  • AGG_IO=138
  • AGG_LOCED_IO=138
  • AGG_SLICE=7003
  • NUM_BONDED_IOB=20
  • NUM_BONDED_IOB18=98
  • NUM_BONDED_IOB18M=9
  • NUM_BONDED_IOB18S=9
  • NUM_BONDED_IOB33=2
  • NUM_BONDED_IPAD=18
  • NUM_BONDED_OPAD=16
  • NUM_BSFULL=11003
  • NUM_BSLUTONLY=5536
  • NUM_BSREGONLY=6007
  • NUM_BSUSED=22546
  • NUM_BUFG=6
  • NUM_BUFGCTRL=1
  • NUM_DPRAM_O5ANDO6=1650
  • NUM_DPRAM_O5ONLY=30
  • NUM_DPRAM_O6ONLY=92
  • NUM_GTXE2_CHANNEL=8
  • NUM_GTXE2_COMMON=2
  • NUM_IBUFDS_GTE2=1
  • NUM_IDELAYCTRL=2
  • NUM_IDELAYE2=64
  • NUM_IN_FIFO=8
  • NUM_IOB_FF=17
  • NUM_ISERDESE2=64
  • NUM_LOCED_GTXE2_CHANNEL=8
  • NUM_LOCED_IBUFDS_GTE2=1
  • NUM_LOCED_IN_FIFO=8
  • NUM_LOCED_IOB=20
  • NUM_LOCED_IOB18=98
  • NUM_LOCED_IOB18M=9
  • NUM_LOCED_IOB18S=9
  • NUM_LOCED_IOB33=2
  • NUM_LOCED_OSERDESE2=8
  • NUM_LOCED_OUT_FIFO=11
  • NUM_LOCED_PCIE_2_1=1
  • NUM_LOCED_PHASER_IN_PHY=8
  • NUM_LOCED_PHASER_OUT_PHY=11
  • NUM_LOCED_PHASER_REF=3
  • NUM_LOCED_PHY_CONTROL=3
  • NUM_LOGIC_O5ANDO6=5077
  • NUM_LOGIC_O5ONLY=288
  • NUM_LOGIC_O6ONLY=7029
  • NUM_LUT_RT_DRIVES_CARRY4=40
  • NUM_LUT_RT_DRIVES_FLOP=2234
  • NUM_LUT_RT_EXO5=2228
  • NUM_LUT_RT_EXO6=40
  • NUM_LUT_RT_O5=36
  • NUM_LUT_RT_O5ANDO6=6
  • NUM_LUT_RT_O6=288
  • NUM_MMCME2_ADV=2
  • NUM_OLOGICE2=9
  • NUM_OSERDESE2=103
  • NUM_OUT_FIFO=11
  • NUM_PCIE_2_1=1
  • NUM_PHASER_IN_PHY=8
  • NUM_PHASER_OUT_PHY=11
  • NUM_PHASER_REF=3
  • NUM_PHY_CONTROL=3
  • NUM_PLLE2_ADV=1
  • NUM_RAMB18E1=8
  • NUM_RAMB36E1=32
  • NUM_SLICEL=6507
  • NUM_SLICEM=496
  • NUM_SLICE_CARRY4=290
  • NUM_SLICE_CONTROLSET=867
  • NUM_SLICE_CYINIT=23676
  • NUM_SLICE_F7MUX=308
  • NUM_SLICE_FF=21776
  • NUM_SLICE_UNUSEDCTRL=913
  • NUM_SRL_O6ONLY=99
  • NUM_UNUSABLE_FF_BELS=4551
NetStatistics
  • NumNets_Active=38236
  • NumNets_Gnd=1
  • NumNets_Vcc=1
  • NumNodesOfType_Active_BENTQUAD=20012
  • NumNodesOfType_Active_BOUNCEACROSS=4439
  • NumNodesOfType_Active_BOUNCEIN=5229
  • NumNodesOfType_Active_BUFGROUT=7
  • NumNodesOfType_Active_BUFINP2OUT=27
  • NumNodesOfType_Active_CLKPIN=6799
  • NumNodesOfType_Active_DOUBLE=56371
  • NumNodesOfType_Active_GENERIC=180
  • NumNodesOfType_Active_GLOBAL=5609
  • NumNodesOfType_Active_HLONG=761
  • NumNodesOfType_Active_HQUAD=13978
  • NumNodesOfType_Active_INPUT=26701
  • NumNodesOfType_Active_IOBIN2OUT=326
  • NumNodesOfType_Active_IOBINPUT=573
  • NumNodesOfType_Active_IOBOUTPUT=519
  • NumNodesOfType_Active_LUTINPUT=65343
  • NumNodesOfType_Active_OPTDELAY=437
  • NumNodesOfType_Active_OUTBOUND=41819
  • NumNodesOfType_Active_OUTPUT=37593
  • NumNodesOfType_Active_PADINPUT=222
  • NumNodesOfType_Active_PADOUTPUT=109
  • NumNodesOfType_Active_PINBOUNCE=23654
  • NumNodesOfType_Active_PINFEED=101387
  • NumNodesOfType_Active_REFCLK=2
  • NumNodesOfType_Active_SINGLE=63903
  • NumNodesOfType_Active_SLOWSINGLE=48
  • NumNodesOfType_Active_VLONG=1042
  • NumNodesOfType_Active_VLONG12=1314
  • NumNodesOfType_Active_VQUAD=15296
  • NumNodesOfType_Gnd_BOUNCEACROSS=34
  • NumNodesOfType_Gnd_BOUNCEIN=1794
  • NumNodesOfType_Gnd_CLKPIN=136
  • NumNodesOfType_Gnd_DOUBLE=11
  • NumNodesOfType_Gnd_HVCCGNDOUT=996
  • NumNodesOfType_Gnd_INPUT=5481
  • NumNodesOfType_Gnd_IOBIN2OUT=8
  • NumNodesOfType_Gnd_IOBINPUT=576
  • NumNodesOfType_Gnd_IOBOUTPUT=7
  • NumNodesOfType_Gnd_LUTINPUT=3328
  • NumNodesOfType_Gnd_OUTBOUND=45
  • NumNodesOfType_Gnd_OUTPUT=45
  • NumNodesOfType_Gnd_PADINPUT=7
  • NumNodesOfType_Gnd_PINBOUNCE=1382
  • NumNodesOfType_Gnd_PINFEED=12383
  • NumNodesOfType_Gnd_SINGLE=50
  • NumNodesOfType_Vcc_BOUNCEIN=4
  • NumNodesOfType_Vcc_HVCCGNDOUT=2638
  • NumNodesOfType_Vcc_INPUT=2057
  • NumNodesOfType_Vcc_IOBIN2OUT=104
  • NumNodesOfType_Vcc_IOBINPUT=722
  • NumNodesOfType_Vcc_IOBOUTPUT=1
  • NumNodesOfType_Vcc_LUTINPUT=7516
  • NumNodesOfType_Vcc_PADINPUT=1
  • NumNodesOfType_Vcc_PINBOUNCE=208
  • NumNodesOfType_Vcc_PINFEED=12494
SiteStatistics
  • BUFG-BUFGCTRL=6
  • IDELAYE2-IDELAYE2_FINEDELAY=64
  • IOB-IOB18=2
  • IOB-IOB18M=3
  • IOB-IOB18S=4
  • IOB-IOB33=5
  • IOB-IOB33M=3
  • IOB-IOB33S=3
  • IOB18-IOB18M=52
  • IOB18-IOB18S=46
  • IOB33-IOB33M=1
  • ISERDESE2-ILOGICE2=64
  • OSERDESE2-OLOGICE2=103
  • RAMB18E1-FIFO18E1=4
  • RAMB36E1-RAMBFIFO36E1=32
  • SLICEL-SLICEM=2067
SiteSummary
  • BUFFER=34
  • BUFG=6
  • BUFGCTRL=1
  • BUFGCTRL_BUFGCTRL=1
  • BUFG_BUFG=6
  • CARRY4=290
  • FF_INIT=5388
  • GTXE2_CHANNEL=8
  • GTXE2_CHANNEL_GTXE2_CHANNEL=8
  • GTXE2_COMMON=2
  • GTXE2_COMMON_GTXE2_COMMON=2
  • HARD0=60
  • HARD1=30
  • IBUFDS_GTE2=1
  • IBUFDS_GTE2_IBUFDS_GTE2=1
  • IDELAYCTRL=2
  • IDELAYCTRL_IDELAYCTRL=2
  • IDELAYE2=64
  • IDELAYE2_IDELAYE2=64
  • INVERTER=9
  • IN_FIFO=8
  • IN_FIFO_IN_FIFO=8
  • IOB=20
  • IOB18=98
  • IOB18M=9
  • IOB18M_INBUF_DCIEN=8
  • IOB18M_OUTBUF_DCIEN=9
  • IOB18S=9
  • IOB18S_INBUF_DCIEN=8
  • IOB18S_OUTBUF_DCIEN=9
  • IOB18_INBUF_DCIEN=65
  • IOB18_OUTBUF_DCIEN=96
  • IOB33=2
  • IOB33_INBUF_EN=1
  • IOB33_OUTBUF=1
  • IOB_INBUF_EN=10
  • IOB_OUTBUF=10
  • IPAD=18
  • ISERDESE2=64
  • ISERDESE2_ISERDESE2=64
  • LUT5=7611
  • LUT6=12389
  • LUT_OR_MEM5=1704
  • LUT_OR_MEM6=1892
  • MMCME2_ADV=2
  • MMCME2_ADV_MMCME2_ADV=2
  • NULLMUX=17
  • OLOGICE2=9
  • OLOGICE2_OUTFF=9
  • OLOGICE2_TFF=8
  • OPAD=16
  • OSERDESE2=103
  • OSERDESE2_OSERDESE2=103
  • OUT_FIFO=11
  • OUT_FIFO_OUT_FIFO=11
  • PAD=172
  • PCIE_2_1=1
  • PCIE_2_1_PCIE_2_1=1
  • PHASER_IN_PHY=8
  • PHASER_IN_PHY_PHASER_IN_PHY=8
  • PHASER_OUT_PHY=11
  • PHASER_OUT_PHY_PHASER_OUT_PHY=11
  • PHASER_REF=3
  • PHASER_REF_PHASER_REF=3
  • PHY_CONTROL=3
  • PHY_CONTROL_PHY_CONTROL=3
  • PLLE2_ADV=1
  • PLLE2_ADV_PLLE2_ADV=1
  • RAMB18E1=8
  • RAMB18E1_RAMB18E1=8
  • RAMB36E1=32
  • RAMB36E1_RAMB36E1=32
  • REG_INIT=16388
  • SELMUX2_1=308
  • SLICEL=6507
  • SLICEM=496
 
Configuration Data
BUFGCTRL
  • CE0=[CE0_INV:0] [CE0:1]
  • CE1=[CE1_INV:0] [CE1:1]
  • IGNORE0=[IGNORE0:1] [IGNORE0_INV:0]
  • IGNORE1=[IGNORE1:1] [IGNORE1_INV:0]
  • S0=[S0:0] [S0_INV:1]
  • S1=[S1_INV:0] [S1:1]
BUFGCTRL_BUFGCTRL
  • CE0=[CE0_INV:0] [CE0:1]
  • CE1=[CE1_INV:0] [CE1:1]
  • CREATE_EDGE=[TRUE:1]
  • IGNORE0=[IGNORE0:1] [IGNORE0_INV:0]
  • IGNORE1=[IGNORE1:1] [IGNORE1_INV:0]
  • INIT_OUT=[0:1]
  • PRESELECT_I0=[FALSE:1]
  • PRESELECT_I1=[FALSE:1]
  • S0=[S0:0] [S0_INV:1]
  • S1=[S1_INV:0] [S1:1]
FF_INIT
  • CK=[CK:5388] [CK_INV:0]
  • FFINIT=[INIT0:5355] [INIT1:33]
  • FFSR=[SRLOW:5351] [SRHIGH:37]
  • SYNC_ATTR=[ASYNC:4446] [SYNC:942]
GTXE2_CHANNEL
  • CPLLLOCKDETCLK=[CPLLLOCKDETCLK:8] [CPLLLOCKDETCLK_INV:0]
  • DRPCLK=[DRPCLK_INV:0] [DRPCLK:8]
  • RXUSRCLK=[RXUSRCLK_INV:0] [RXUSRCLK:8]
  • RXUSRCLK2=[RXUSRCLK2:8] [RXUSRCLK2_INV:0]
  • TXPHDLYTSTCLK=[TXPHDLYTSTCLK_INV:0] [TXPHDLYTSTCLK:8]
  • TXUSRCLK=[TXUSRCLK_INV:0] [TXUSRCLK:8]
  • TXUSRCLK2=[TXUSRCLK2:8] [TXUSRCLK2_INV:0]
GTXE2_CHANNEL_GTXE2_CHANNEL
  • ALIGN_COMMA_DOUBLE=[FALSE:8]
  • ALIGN_COMMA_WORD=[1:8]
  • ALIGN_MCOMMA_DET=[TRUE:8]
  • ALIGN_PCOMMA_DET=[TRUE:8]
  • CBCC_DATA_SOURCE_SEL=[DECODED:8]
  • CHAN_BOND_KEEP_ALIGN=[TRUE:8]
  • CHAN_BOND_MAX_SKEW=[7:8]
  • CHAN_BOND_SEQ_2_USE=[TRUE:8]
  • CHAN_BOND_SEQ_LEN=[4:8]
  • CLK_CORRECT_USE=[TRUE:8]
  • CLK_COR_INSERT_IDLE_FLAG=[FALSE:8]
  • CLK_COR_KEEP_IDLE=[TRUE:8]
  • CLK_COR_MAX_LAT=[20:8]
  • CLK_COR_MIN_LAT=[18:8]
  • CLK_COR_PRECEDENCE=[TRUE:8]
  • CLK_COR_REPEAT_WAIT=[0:8]
  • CLK_COR_SEQ_2_USE=[FALSE:8]
  • CLK_COR_SEQ_LEN=[1:8]
  • CPLLLOCKDETCLK=[CPLLLOCKDETCLK:8] [CPLLLOCKDETCLK_INV:0]
  • CPLL_FBDIV=[5:8]
  • CPLL_FBDIV_45=[5:8]
  • CPLL_REFCLK_DIV=[1:8]
  • DEC_MCOMMA_DETECT=[TRUE:8]
  • DEC_PCOMMA_DETECT=[TRUE:8]
  • DEC_VALID_COMMA_ONLY=[FALSE:8]
  • DRPCLK=[DRPCLK_INV:0] [DRPCLK:8]
  • ES_ERRDET_EN=[FALSE:8]
  • ES_EYE_SCAN_EN=[TRUE:8]
  • FTS_LANE_DESKEW_EN=[TRUE:8]
  • GEN_RXUSRCLK=[TRUE:8]
  • GEN_TXUSRCLK=[TRUE:8]
  • PCS_PCIE_EN=[TRUE:8]
  • RXBUF_ADDR_MODE=[FULL:8]
  • RXBUF_EN=[TRUE:8]
  • RXBUF_RESET_ON_CB_CHANGE=[TRUE:8]
  • RXBUF_RESET_ON_COMMAALIGN=[FALSE:8]
  • RXBUF_RESET_ON_EIDLE=[TRUE:8]
  • RXBUF_RESET_ON_RATE_CHANGE=[TRUE:8]
  • RXBUF_THRESH_OVFLW=[61:8]
  • RXBUF_THRESH_OVRD=[FALSE:8]
  • RXBUF_THRESH_UNDFLW=[4:8]
  • RXGEARBOX_EN=[FALSE:8]
  • RXOUT_DIV=[2:8]
  • RXPLL_SEL=[CPLL:8]
  • RXSIPO_DIV_45=[4:8]
  • RXSLIDE_AUTO_WAIT=[7:8]
  • RXSLIDE_MODE=[PMA:8]
  • RXUSRCLK=[RXUSRCLK_INV:0] [RXUSRCLK:8]
  • RXUSRCLK2=[RXUSRCLK2:8] [RXUSRCLK2_INV:0]
  • RX_CLK25_DIV=[4:8]
  • RX_DATA_WIDTH=[20:8]
  • RX_DEFER_RESET_BUF_EN=[TRUE:8]
  • RX_DISPERR_SEQ_MATCH=[TRUE:8]
  • RX_INT_DATAWIDTH=[0:8]
  • RX_SIG_VALID_DLY=[4:8]
  • RX_XCLK_SEL=[RXREC:8]
  • SAS_MAX_COM=[64:8]
  • SAS_MIN_COM=[36:8]
  • SATA_CPLL_CFG=[VCO_3000MHZ:8]
  • SATA_MAX_BURST=[8:8]
  • SATA_MAX_INIT=[21:8]
  • SATA_MAX_WAKE=[7:8]
  • SATA_MIN_BURST=[4:8]
  • SATA_MIN_INIT=[12:8]
  • SATA_MIN_WAKE=[4:8]
  • SHOW_REALIGN_COMMA=[FALSE:8]
  • TXBUF_EN=[FALSE:8]
  • TXBUF_RESET_ON_RATE_CHANGE=[TRUE:8]
  • TXGEARBOX_EN=[FALSE:8]
  • TXOUTCLKPCS_SEL=[0:8]
  • TXOUT_DIV=[2:8]
  • TXPHDLYTSTCLK=[TXPHDLYTSTCLK_INV:0] [TXPHDLYTSTCLK:8]
  • TXPISO_DIV_45=[5:8]
  • TXPLL_SEL=[CPLL:8]
  • TXUSRCLK=[TXUSRCLK_INV:0] [TXUSRCLK:8]
  • TXUSRCLK2=[TXUSRCLK2:8] [TXUSRCLK2_INV:0]
  • TX_CLK25_DIV=[4:8]
  • TX_DATA_WIDTH=[20:8]
  • TX_DRIVE_MODE=[PIPE:8]
  • TX_INT_DATAWIDTH=[0:8]
  • TX_LOOPBACK_DRIVE_HIZ=[FALSE:8]
  • TX_XCLK_SEL=[TXUSR:8]
GTXE2_COMMON
  • DRPCLK=[DRPCLK_INV:0] [DRPCLK:2]
  • QPLLLOCKDETCLK=[QPLLLOCKDETCLK:2] [QPLLLOCKDETCLK_INV:0]
GTXE2_COMMON_GTXE2_COMMON
  • DRPCLK=[DRPCLK_INV:0] [DRPCLK:2]
  • QPLLLOCKDETCLK=[QPLLLOCKDETCLK:2] [QPLLLOCKDETCLK_INV:0]
  • QPLL_REFCLK_DIV=[1:2]
IBUFDS_GTE2_IBUFDS_GTE2
  • CLKCM_CFG=[TRUE:1]
  • CLKRCV_TRST=[TRUE:1]
IDELAYCTRL_IDELAYCTRL
  • BIAS_MODE=[0:2]
  • HIGH_PERFORMANCE_MODE=[FALSE:2]
  • IDELAYCTRL_EN=[ENABLE:2]
  • RESET_STYLE=[V5:2]
IDELAYE2
  • C=[C:64] [C_INV:0]
  • DATAIN=[DATAIN_INV:0] [DATAIN:64]
  • IDATAIN=[IDATAIN_INV:0] [IDATAIN:64]
IDELAYE2_IDELAYE2
  • C=[C:64] [C_INV:0]
  • CINVCTRL_SEL=[FALSE:64]
  • DATAIN=[DATAIN_INV:0] [DATAIN:64]
  • DELAYCHAIN_OSC=[FALSE:64]
  • DELAY_SRC=[IDATAIN:64]
  • HIGH_PERFORMANCE_MODE=[TRUE:64]
  • IDATAIN=[IDATAIN_INV:0] [IDATAIN:64]
  • IDELAY_TYPE=[VARIABLE:64]
  • IDELAY_VALUE=[0:64]
  • PIPE_SEL=[FALSE:64]
  • SIGNAL_PATTERN=[DATA:64]
IN_FIFO_IN_FIFO
  • ALMOST_EMPTY_VALUE=[1:8]
  • ALMOST_FULL_VALUE=[1:8]
  • ARRAY_MODE=[ARRAY_MODE_4_X_8:8]
  • SLOW_RD_CLK=[FALSE:8]
  • SLOW_WR_CLK=[FALSE:8]
  • SYNCHRONOUS_MODE=[FALSE:8]
IOB18M_INBUF_DCIEN
  • DQS_BIAS=[FALSE:8]
  • IBUF_LOW_PWR=[FALSE:8]
  • VCCAUX_I=[HIGH:8]
IOB18M_OUTBUF_DCIEN
  • VCCAUX_O=[HIGH:9]
IOB18S_INBUF_DCIEN
  • DQS_BIAS=[FALSE:8]
  • IBUF_LOW_PWR=[TRUE:8]
  • VCCAUX_I=[HIGH:8]
IOB18S_OUTBUF_DCIEN
  • VCCAUX_O=[HIGH:9]
IOB18_INBUF_DCIEN
  • DIFF_TERM=[FALSE:1]
  • IBUF_LOW_PWR=[FALSE:65]
  • VCCAUX_I=[HIGH:64] [DONTCARE:1]
IOB18_OUTBUF_DCIEN
  • VCCAUX_O=[HIGH:96]
IOB33_INBUF_EN
  • IBUF_LOW_PWR=[TRUE:1]
IOB_INBUF_EN
  • IBUF_LOW_PWR=[TRUE:10]
ISERDESE2
  • CLK=[CLK:64] [CLK_INV:0]
  • CLKB=[CLKB_INV:64] [CLKB:0]
  • CLKDIVP=[CLKDIVP_INV:0] [CLKDIVP:64]
  • D=[D:64] [D_INV:0]
  • OCLK=[OCLK:64] [OCLK_INV:0]
ISERDESE2_ISERDESE2
  • CLK=[CLK:64] [CLK_INV:0]
  • CLKB=[CLKB_INV:64] [CLKB:0]
  • CLKDIVP=[CLKDIVP_INV:0] [CLKDIVP:64]
  • D=[D:64] [D_INV:0]
  • DATA_RATE=[DDR:64]
  • DATA_WIDTH=[4:64]
  • DDR3_V6=[FALSE:64]
  • DDR_CLK_EDGE=[OPPOSITE_EDGE:64]
  • DYN_CLKDIVP_INV_EN=[FALSE:64]
  • DYN_CLKDIV_INV_EN=[FALSE:64]
  • DYN_CLK_INV_EN=[FALSE:64]
  • D_EMU1=[FALSE:64]
  • D_EMU2=[FALSE:64]
  • INTERFACE_TYPE=[MEMORY_DDR3:64]
  • IOBDELAY=[IFD:64]
  • NUM_CE=[2:64]
  • OCLK=[OCLK:64] [OCLK_INV:0]
  • OFB_USED=[FALSE:64]
  • RANK12_DLY=[FALSE:64]
  • RANK23_DLY=[FALSE:64]
  • SERDES=[TRUE:64]
  • SERDES_MODE=[MASTER:64]
  • SRTYPE=[SYNC:64]
  • TFB_USED=[FALSE:64]
LUT_OR_MEM5
  • CLK=[CLK:1680] [CLK_INV:0]
  • LUT_OR_MEM=[LUT:24] [RAM:1680]
  • RAMMODE=[DPRAM32:1680]
LUT_OR_MEM6
  • CLK=[CLK:1841] [CLK_INV:0]
  • LUT_OR_MEM=[LUT:51] [RAM:1841]
  • RAMMODE=[SRL16:99] [DPRAM32:1650] [DPRAM64:92]
MMCME2_ADV
  • CLKINSEL=[CLKINSEL:2] [CLKINSEL_INV:0]
  • PSEN=[PSEN_INV:0] [PSEN:2]
  • PSINCDEC=[PSINCDEC:2] [PSINCDEC_INV:0]
  • PWRDWN=[PWRDWN_INV:0] [PWRDWN:2]
  • RST=[RST:1] [RST_INV:1]
MMCME2_ADV_MMCME2_ADV
  • BANDWIDTH=[HIGH:1] [OPTIMIZED:1]
  • CLKBURST_ENABLE=[FALSE:2]
  • CLKBURST_REPEAT=[FALSE:2]
  • CLKFBIN_EDGE=[FALSE:2]
  • CLKFBIN_NOCOUNT=[TRUE:2]
  • CLKFBOUT_EDGE=[FALSE:2]
  • CLKFBOUT_EN=[TRUE:2]
  • CLKFBOUT_FRAC_EN=[FALSE:2]
  • CLKFBOUT_FRAC_WF_FALL=[FALSE:2]
  • CLKFBOUT_FRAC_WF_RISE=[FALSE:2]
  • CLKFBOUT_NOCOUNT=[TRUE:2]
  • CLKFBOUT_USE_FINE_PS=[FALSE:2]
  • CLKINSEL=[CLKINSEL:2] [CLKINSEL_INV:0]
  • CLKOUT0_EDGE=[FALSE:2]
  • CLKOUT0_EN=[FALSE:2]
  • CLKOUT0_FRAC_EN=[FALSE:2]
  • CLKOUT0_FRAC_WF_FALL=[FALSE:2]
  • CLKOUT0_FRAC_WF_RISE=[FALSE:2]
  • CLKOUT0_NOCOUNT=[TRUE:2]
  • CLKOUT0_USE_FINE_PS=[FALSE:2]
  • CLKOUT1_EDGE=[FALSE:2]
  • CLKOUT1_EN=[FALSE:2]
  • CLKOUT1_NOCOUNT=[TRUE:2]
  • CLKOUT1_USE_FINE_PS=[FALSE:2]
  • CLKOUT2_EDGE=[FALSE:2]
  • CLKOUT2_EN=[FALSE:2]
  • CLKOUT2_NOCOUNT=[TRUE:2]
  • CLKOUT2_USE_FINE_PS=[FALSE:2]
  • CLKOUT3_EDGE=[FALSE:2]
  • CLKOUT3_EN=[FALSE:2]
  • CLKOUT3_NOCOUNT=[TRUE:2]
  • CLKOUT3_USE_FINE_PS=[FALSE:2]
  • CLKOUT4_CASCADE=[FALSE:2]
  • CLKOUT4_EDGE=[FALSE:2]
  • CLKOUT4_EN=[FALSE:2]
  • CLKOUT4_NOCOUNT=[TRUE:2]
  • CLKOUT4_USE_FINE_PS=[FALSE:2]
  • CLKOUT5_EDGE=[FALSE:2]
  • CLKOUT5_EN=[FALSE:2]
  • CLKOUT5_NOCOUNT=[TRUE:2]
  • CLKOUT5_USE_FINE_PS=[FALSE:2]
  • CLKOUT6_EDGE=[FALSE:2]
  • CLKOUT6_EN=[FALSE:2]
  • CLKOUT6_NOCOUNT=[TRUE:2]
  • CLKOUT6_USE_FINE_PS=[FALSE:2]
  • COMPENSATION=[INTERNAL:1] [BUF_IN:1]
  • DIRECT_PATH_CNTRL=[FALSE:2]
  • DIVCLK_EDGE=[FALSE:2]
  • DIVCLK_NOCOUNT=[TRUE:2]
  • EN_VCO_DIV1=[FALSE:2]
  • EN_VCO_DIV6=[FALSE:2]
  • GTS_WAIT=[FALSE:2]
  • HVLF_CNT_TEST_EN=[FALSE:2]
  • INTERP_TEST=[FALSE:2]
  • IN_DLY_EN=[TRUE:2]
  • LF_LOW_SEL=[FALSE:2]
  • MMCM_EN=[TRUE:2]
  • PERF0_USE_CLK=[FALSE:2]
  • PERF1_USE_CLK=[FALSE:2]
  • PERF2_USE_CLK=[FALSE:2]
  • PERF3_USE_CLK=[FALSE:2]
  • PSEN=[PSEN_INV:0] [PSEN:2]
  • PSINCDEC=[PSINCDEC:2] [PSINCDEC_INV:0]
  • PWRDWN=[PWRDWN_INV:0] [PWRDWN:2]
  • RST=[RST:1] [RST_INV:1]
  • SEL_HV_NMOS=[FALSE:2]
  • SEL_LV_NMOS=[FALSE:2]
  • SEL_SLIPD=[FALSE:2]
  • SS_EN=[FALSE:2]
  • SS_MODE=[CENTER_HIGH:2]
  • STARTUP_WAIT=[FALSE:2]
  • SUP_SEL_AREG=[FALSE:2]
  • SUP_SEL_DREG=[FALSE:2]
  • TMUX_MUX_SEL=[00:2]
  • VLF_HIGH_DIS_B=[TRUE:2]
  • VLF_HIGH_PWDN_B=[TRUE:2]
OLOGICE2
  • CLK=[CLK:9] [CLK_INV:0]
  • D1=[D1:9] [D1_INV:0]
  • D2=[D2:9] [D2_INV:0]
  • T1=[T1_INV:0] [T1:8]
  • T2=[T2:8] [T2_INV:0]
OLOGICE2_OUTFF
  • CK=[CK:9] [CK_INV:0]
  • D1=[D1:9] [D1_INV:0]
  • D2=[D2:9] [D2_INV:0]
  • INIT_OQ=[0:9]
  • ODDR_CLK_EDGE=[OPPOSITE_EDGE:1] [SAME_EDGE:8]
  • OUTFFTYPE=[DDR:9]
  • SRTYPE_OQ=[SYNC:9]
  • SRVAL_OQ=[0:9]
OLOGICE2_TFF
  • CK=[CK:8] [CK_INV:0]
  • D1=[D1:8] [D1_INV:0]
  • D2=[D2:8] [D2_INV:0]
  • INIT_TQ=[0:8]
  • SRTYPE_TQ=[SYNC:8]
  • SRVAL_TQ=[1:8]
  • TDDR_CLK_EDGE=[SAME_EDGE:8]
  • TFFTYPE=[DDR:8]
OSERDESE2
  • CLK=[CLK:103] [CLK_INV:0]
  • CLKDIV=[CLKDIV_INV:0] [CLKDIV:103]
  • D1=[D1:95] [D1_INV:8]
  • D2=[D2:95] [D2_INV:8]
  • D3=[D3:95] [D3_INV:8]
  • D4=[D4:95] [D4_INV:8]
  • D5=[D5:0] [D5_INV:103]
  • D6=[D6:0] [D6_INV:103]
  • D7=[D7:0] [D7_INV:103]
  • D8=[D8:0] [D8_INV:103]
  • T1=[T1_INV:95] [T1:8]
  • T2=[T2:8] [T2_INV:95]
  • T3=[T3:8] [T3_INV:95]
  • T4=[T4:8] [T4_INV:95]
OSERDESE2_OSERDESE2
  • CLK=[CLK:103] [CLK_INV:0]
  • CLKDIV=[CLKDIV_INV:0] [CLKDIV:103]
  • D1=[D1:95] [D1_INV:8]
  • D2=[D2:95] [D2_INV:8]
  • D3=[D3:95] [D3_INV:8]
  • D4=[D4:95] [D4_INV:8]
  • D5=[D5:0] [D5_INV:103]
  • D6=[D6:0] [D6_INV:103]
  • D7=[D7:0] [D7_INV:103]
  • D8=[D8:0] [D8_INV:103]
  • DATA_RATE_OQ=[SDR:23] [DDR:80]
  • DATA_RATE_TQ=[SDR:23] [DDR:80]
  • DATA_WIDTH=[4:103]
  • DDR_CLK_EDGE=[SAME_EDGE:103]
  • RANK3_USED=[FALSE:103]
  • SELFHEAL=[FALSE:103]
  • SERDES=[TRUE:103]
  • SERDES_MODE=[MASTER:103]
  • SRTYPE=[SYNC:103]
  • T1=[T1_INV:95] [T1:8]
  • T2=[T2:8] [T2_INV:95]
  • T3=[T3:8] [T3_INV:95]
  • T4=[T4:8] [T4_INV:95]
  • TBYTE_CTL=[FALSE:23] [TRUE:80]
  • TBYTE_SRC=[FALSE:95] [TRUE:8]
  • TRISTATE_WIDTH=[1:23] [4:80]
OUT_FIFO_OUT_FIFO
  • ALMOST_EMPTY_VALUE=[1:11]
  • ALMOST_FULL_VALUE=[1:11]
  • ARRAY_MODE=[ARRAY_MODE_8_X_4:8] [ARRAY_MODE_4_X_4:3]
  • OUTPUT_DISABLE=[FALSE:11]
  • SLOW_RD_CLK=[FALSE:11]
  • SLOW_WR_CLK=[FALSE:11]
  • SYNCHRONOUS_MODE=[FALSE:11]
PCIE_2_1_PCIE_2_1
  • AER_CAP_ECRC_CHECK_CAPABLE=[FALSE:1]
  • AER_CAP_ECRC_GEN_CAPABLE=[FALSE:1]
  • AER_CAP_MULTIHEADER=[FALSE:1]
  • AER_CAP_ON=[FALSE:1]
  • AER_CAP_PERMIT_ROOTERR_UPDATE=[FALSE:1]
  • ALLOW_X8_GEN2=[TRUE:1]
  • CFG_ECRC_ERR_CPLSTAT=[0:1]
  • CMD_INTX_IMPLEMENTED=[TRUE:1]
  • CPL_TIMEOUT_DISABLE_SUPPORTED=[FALSE:1]
  • DEV_CAP2_ARI_FORWARDING_SUPPORTED=[FALSE:1]
  • DEV_CAP2_ATOMICOP32_COMPLETER_SUPPORTED=[FALSE:1]
  • DEV_CAP2_ATOMICOP64_COMPLETER_SUPPORTED=[FALSE:1]
  • DEV_CAP2_ATOMICOP_ROUTING_SUPPORTED=[FALSE:1]
  • DEV_CAP2_CAS128_COMPLETER_SUPPORTED=[FALSE:1]
  • DEV_CAP2_ENDEND_TLP_PREFIX_SUPPORTED=[FALSE:1]
  • DEV_CAP2_EXTENDED_FMT_FIELD_SUPPORTED=[FALSE:1]
  • DEV_CAP2_LTR_MECHANISM_SUPPORTED=[FALSE:1]
  • DEV_CAP2_NO_RO_ENABLED_PRPR_PASSING=[FALSE:1]
  • DEV_CAP_ENABLE_SLOT_PWR_LIMIT_SCALE=[TRUE:1]
  • DEV_CAP_ENABLE_SLOT_PWR_LIMIT_VALUE=[TRUE:1]
  • DEV_CAP_ENDPOINT_L0S_LATENCY=[0:1]
  • DEV_CAP_ENDPOINT_L1_LATENCY=[7:1]
  • DEV_CAP_EXT_TAG_SUPPORTED=[FALSE:1]
  • DEV_CAP_FUNCTION_LEVEL_RESET_CAPABLE=[FALSE:1]
  • DEV_CAP_MAX_PAYLOAD_SUPPORTED=[1:1]
  • DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT=[0:1]
  • DEV_CAP_ROLE_BASED_ERROR=[TRUE:1]
  • DEV_CAP_RSVD_14_12=[0:1]
  • DEV_CAP_RSVD_17_16=[0:1]
  • DEV_CAP_RSVD_31_29=[0:1]
  • DEV_CONTROL_AUX_POWER_SUPPORTED=[FALSE:1]
  • DEV_CONTROL_EXT_TAG_DEFAULT=[FALSE:1]
  • DISABLE_ASPM_L1_TIMER=[FALSE:1]
  • DISABLE_BAR_FILTERING=[FALSE:1]
  • DISABLE_ERR_MSG=[FALSE:1]
  • DISABLE_ID_CHECK=[FALSE:1]
  • DISABLE_LANE_REVERSAL=[TRUE:1]
  • DISABLE_LOCKED_FILTER=[FALSE:1]
  • DISABLE_PPM_FILTER=[FALSE:1]
  • DISABLE_RX_POISONED_RESP=[FALSE:1]
  • DISABLE_RX_TC_FILTER=[FALSE:1]
  • DISABLE_SCRAMBLING=[FALSE:1]
  • DSN_CAP_ON=[TRUE:1]
  • ENABLE_RX_TD_ECRC_TRIM=[TRUE:1]
  • ENDEND_TLP_PREFIX_FORWARDING_SUPPORTED=[FALSE:1]
  • ENTER_RVRY_EI_L0=[TRUE:1]
  • EXIT_LOOPBACK_ON_EI=[TRUE:1]
  • INTERRUPT_STAT_AUTO=[TRUE:1]
  • IS_SWITCH=[FALSE:1]
  • LINK_CAP_ASPM_OPTIONALITY=[FALSE:1]
  • LINK_CAP_ASPM_SUPPORT=[1:1]
  • LINK_CAP_CLOCK_POWER_MANAGEMENT=[FALSE:1]
  • LINK_CAP_DLL_LINK_ACTIVE_REPORTING_CAP=[FALSE:1]
  • LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN1=[7:1]
  • LINK_CAP_L0S_EXIT_LATENCY_COMCLK_GEN2=[7:1]
  • LINK_CAP_L0S_EXIT_LATENCY_GEN1=[7:1]
  • LINK_CAP_L0S_EXIT_LATENCY_GEN2=[7:1]
  • LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN1=[7:1]
  • LINK_CAP_L1_EXIT_LATENCY_COMCLK_GEN2=[7:1]
  • LINK_CAP_L1_EXIT_LATENCY_GEN1=[7:1]
  • LINK_CAP_L1_EXIT_LATENCY_GEN2=[7:1]
  • LINK_CAP_LINK_BANDWIDTH_NOTIFICATION_CAP=[FALSE:1]
  • LINK_CAP_RSVD_23=[0:1]
  • LINK_CAP_SURPRISE_DOWN_ERROR_CAPABLE=[FALSE:1]
  • LINK_CONTROL_RCB=[0:1]
  • LINK_CTRL2_DEEMPHASIS=[FALSE:1]
  • LINK_CTRL2_HW_AUTONOMOUS_SPEED_DISABLE=[FALSE:1]
  • LINK_STATUS_SLOT_CLOCK_CONFIG=[TRUE:1]
  • LL_ACK_TIMEOUT_EN=[FALSE:1]
  • LL_ACK_TIMEOUT_FUNC=[0:1]
  • LL_REPLAY_TIMEOUT_EN=[FALSE:1]
  • LL_REPLAY_TIMEOUT_FUNC=[1:1]
  • MPS_FORCE=[FALSE:1]
  • MSIX_CAP_ON=[FALSE:1]
  • MSIX_CAP_PBA_BIR=[0:1]
  • MSIX_CAP_TABLE_BIR=[0:1]
  • MSI_CAP_64_BIT_ADDR_CAPABLE=[TRUE:1]
  • MSI_CAP_MULTIMSGCAP=[0:1]
  • MSI_CAP_MULTIMSG_EXTENSION=[0:1]
  • MSI_CAP_ON=[TRUE:1]
  • MSI_CAP_PER_VECTOR_MASKING_CAPABLE=[FALSE:1]
  • PCIE_CAP_ON=[TRUE:1]
  • PCIE_CAP_RSVD_15_14=[0:1]
  • PCIE_CAP_SLOT_IMPLEMENTED=[FALSE:1]
  • PL_AUTO_CONFIG=[0:1]
  • PL_FAST_TRAIN=[FALSE:1]
  • PM_ASPML0S_TIMEOUT_EN=[FALSE:1]
  • PM_ASPML0S_TIMEOUT_FUNC=[0:1]
  • PM_ASPM_FASTEXIT=[FALSE:1]
  • PM_CAP_AUXCURRENT=[0:1]
  • PM_CAP_D1SUPPORT=[FALSE:1]
  • PM_CAP_D2SUPPORT=[FALSE:1]
  • PM_CAP_DSI=[FALSE:1]
  • PM_CAP_ON=[TRUE:1]
  • PM_CAP_PME_CLOCK=[FALSE:1]
  • PM_CAP_RSVD_04=[0:1]
  • PM_CAP_VERSION=[3:1]
  • PM_CSR_B2B3=[FALSE:1]
  • PM_CSR_BPCCEN=[FALSE:1]
  • PM_CSR_NOSOFTRST=[TRUE:1]
  • PM_MF=[FALSE:1]
  • RBAR_CAP_ON=[FALSE:1]
  • RECRC_CHK=[0:1]
  • RECRC_CHK_TRIM=[FALSE:1]
  • ROOT_CAP_CRS_SW_VISIBILITY=[FALSE:1]
  • SELECT_DLL_IF=[FALSE:1]
  • SLOT_CAP_ATT_BUTTON_PRESENT=[FALSE:1]
  • SLOT_CAP_ATT_INDICATOR_PRESENT=[FALSE:1]
  • SLOT_CAP_ELEC_INTERLOCK_PRESENT=[FALSE:1]
  • SLOT_CAP_HOTPLUG_CAPABLE=[FALSE:1]
  • SLOT_CAP_HOTPLUG_SURPRISE=[FALSE:1]
  • SLOT_CAP_MRL_SENSOR_PRESENT=[FALSE:1]
  • SLOT_CAP_NO_CMD_COMPLETED_SUPPORT=[FALSE:1]
  • SLOT_CAP_POWER_CONTROLLER_PRESENT=[FALSE:1]
  • SLOT_CAP_POWER_INDICATOR_PRESENT=[FALSE:1]
  • SLOT_CAP_SLOT_POWER_LIMIT_SCALE=[0:1]
  • SPARE_BIT0=[0:1]
  • SPARE_BIT1=[0:1]
  • SPARE_BIT2=[0:1]
  • SPARE_BIT3=[0:1]
  • SPARE_BIT4=[0:1]
  • SPARE_BIT5=[0:1]
  • SPARE_BIT6=[0:1]
  • SPARE_BIT7=[0:1]
  • SPARE_BIT8=[0:1]
  • SSL_MESSAGE_AUTO=[FALSE:1]
  • TECRC_EP_INV=[FALSE:1]
  • TEST_MODE_PIN_CHAR=[FALSE:1]
  • TL_RBYPASS=[FALSE:1]
  • TL_RX_RAM_RADDR_LATENCY=[0:1]
  • TL_RX_RAM_RDATA_LATENCY=[2:1]
  • TL_RX_RAM_WRITE_LATENCY=[0:1]
  • TL_TFC_DISABLE=[FALSE:1]
  • TL_TX_CHECKS_DISABLE=[FALSE:1]
  • TL_TX_RAM_RADDR_LATENCY=[0:1]
  • TL_TX_RAM_RDATA_LATENCY=[2:1]
  • TL_TX_RAM_WRITE_LATENCY=[0:1]
  • TRN_DW=[TRUE:1]
  • TRN_NP_FC=[TRUE:1]
  • UPCONFIG_CAPABLE=[TRUE:1]
  • UPSTREAM_FACING=[TRUE:1]
  • UR_ATOMIC=[FALSE:1]
  • UR_CFG1=[TRUE:1]
  • UR_INV_REQ=[TRUE:1]
  • UR_PRS_RESPONSE=[TRUE:1]
  • USER_CLK2_DIV2=[TRUE:1]
  • USER_CLK_FREQ=[4:1]
  • USE_RID_PINS=[FALSE:1]
  • VC0_CPL_INFINITE=[TRUE:1]
  • VC_CAP_ON=[FALSE:1]
  • VC_CAP_REJECT_SNOOP_TRANSACTIONS=[FALSE:1]
  • VSEC_CAP_IS_LINK_VISIBLE=[TRUE:1]
  • VSEC_CAP_ON=[FALSE:1]
PHASER_IN_PHY
  • RST=[RST:8] [RST_INV:0]
PHASER_IN_PHY_PHASER_IN_PHY
  • BURST_MODE=[TRUE:8]
  • CLKOUT_DIV=[2:8]
  • CTL_MODE=[HARD:8]
  • DQS_BIAS_MODE=[FALSE:8]
  • EN_TEST_RING=[FALSE:8]
  • FREQ_REF_DIV=[NONE:8]
  • HALF_CYCLE_ADJ=[FALSE:8]
  • ICLK_TO_RCLK_BYPASS=[TRUE:8]
  • OUTPUT_CLK_SRC=[DELAYED_REF:8]
  • PD_REVERSE=[4:8]
  • PHASER_IN_EN=[TRUE:8]
  • RST=[RST:8] [RST_INV:0]
  • STG1_PD_UPDATE=[2:8]
  • SYNC_IN_DIV_RST=[TRUE:8]
  • UPDATE_NONACTIVE=[FALSE:8]
  • WR_CYCLES=[FALSE:8]
PHASER_OUT_PHY
  • RST=[RST:11] [RST_INV:0]
PHASER_OUT_PHY_PHASER_OUT_PHY
  • CLKOUT_DIV=[2:8] [4:3]
  • COARSE_BYPASS=[FALSE:11]
  • CTL_MODE=[HARD:11]
  • DATA_CTL_N=[FALSE:3] [TRUE:8]
  • DATA_RD_CYCLES=[FALSE:11]
  • EN_TEST_RING=[FALSE:11]
  • OCLKDELAY_INV=[TRUE:11]
  • OUTPUT_CLK_SRC=[DELAYED_REF:11]
  • PHASER_OUT_EN=[TRUE:11]
  • RST=[RST:11] [RST_INV:0]
  • STG1_BYPASS=[FREQ_REF:11]
  • SYNC_IN_DIV_RST=[TRUE:11]
PHASER_REF
  • PWRDWN=[PWRDWN_INV:0] [PWRDWN:3]
  • RST=[RST:0] [RST_INV:3]
PHASER_REF_PHASER_REF
  • PHASER_REF_EN=[TRUE:3]
  • PWRDWN=[PWRDWN_INV:0] [PWRDWN:3]
  • RST=[RST:0] [RST_INV:3]
  • SEL_SLIPD=[TRUE:3]
  • SUP_SEL_AREG=[FALSE:3]
PHY_CONTROL_PHY_CONTROL
  • BURST_MODE=[TRUE:3]
  • CLK_RATIO=[4:3]
  • DATA_CTL_A_N=[FALSE:1] [TRUE:2]
  • DATA_CTL_B_N=[FALSE:1] [TRUE:2]
  • DATA_CTL_C_N=[FALSE:1] [TRUE:2]
  • DATA_CTL_D_N=[FALSE:1] [TRUE:2]
  • DISABLE_SEQ_MATCH=[TRUE:3]
  • MULTI_REGION=[TRUE:3]
  • PHY_COUNT_ENABLE=[FALSE:3]
  • SYNC_MODE=[FALSE:3]
PLLE2_ADV
  • CLKINSEL=[CLKINSEL:1] [CLKINSEL_INV:0]
  • PWRDWN=[PWRDWN_INV:0] [PWRDWN:1]
  • RST=[RST:1] [RST_INV:0]
PLLE2_ADV_PLLE2_ADV
  • BANDWIDTH=[OPTIMIZED:1]
  • CLKFBIN_EDGE=[FALSE:1]
  • CLKFBIN_NOCOUNT=[TRUE:1]
  • CLKFBOUT_EDGE=[FALSE:1]
  • CLKFBOUT_EN=[TRUE:1]
  • CLKFBOUT_NOCOUNT=[TRUE:1]
  • CLKINSEL=[CLKINSEL:1] [CLKINSEL_INV:0]
  • CLKOUT0_EDGE=[FALSE:1]
  • CLKOUT0_EN=[FALSE:1]
  • CLKOUT0_NOCOUNT=[TRUE:1]
  • CLKOUT1_EDGE=[FALSE:1]
  • CLKOUT1_EN=[FALSE:1]
  • CLKOUT1_NOCOUNT=[TRUE:1]
  • CLKOUT2_EDGE=[FALSE:1]
  • CLKOUT2_EN=[FALSE:1]
  • CLKOUT2_NOCOUNT=[TRUE:1]
  • CLKOUT3_EDGE=[FALSE:1]
  • CLKOUT3_EN=[FALSE:1]
  • CLKOUT3_NOCOUNT=[TRUE:1]
  • CLKOUT4_EDGE=[FALSE:1]
  • CLKOUT4_EN=[FALSE:1]
  • CLKOUT4_NOCOUNT=[TRUE:1]
  • CLKOUT5_EDGE=[FALSE:1]
  • CLKOUT5_EN=[FALSE:1]
  • CLKOUT5_NOCOUNT=[TRUE:1]
  • COMPENSATION=[INTERNAL:1]
  • DIRECT_PATH_CNTRL=[FALSE:1]
  • DIVCLK_EDGE=[FALSE:1]
  • DIVCLK_NOCOUNT=[TRUE:1]
  • EN_VCO_DIV1=[FALSE:1]
  • EN_VCO_DIV6=[FALSE:1]
  • GTS_WAIT=[FALSE:1]
  • HVLF_CNT_TEST_EN=[FALSE:1]
  • IN_DLY_EN=[TRUE:1]
  • LF_LOW_SEL=[FALSE:1]
  • PLL_EN=[TRUE:1]
  • PWRDWN=[PWRDWN_INV:0] [PWRDWN:1]
  • RST=[RST:1] [RST_INV:0]
  • SEL_HV_NMOS=[FALSE:1]
  • SEL_LV_NMOS=[FALSE:1]
  • SEL_SLIPD=[FALSE:1]
  • STARTUP_WAIT=[FALSE:1]
  • SUP_SEL_AREG=[FALSE:1]
  • SUP_SEL_DREG=[FALSE:1]
  • TMUX_MUX_SEL=[00:1]
  • VLF_HIGH_DIS_B=[TRUE:1]
  • VLF_HIGH_PWDN_B=[TRUE:1]
RAMB18E1
  • CLKARDCLK=[CLKARDCLK:8] [CLKARDCLK_INV:0]
  • CLKBWRCLK=[CLKBWRCLK:8] [CLKBWRCLK_INV:0]
  • ENARDEN=[ENARDEN_INV:0] [ENARDEN:8]
  • ENBWREN=[ENBWREN_INV:0] [ENBWREN:8]
  • REGCLKARDRCLK=[REGCLKARDRCLK_INV:0] [REGCLKARDRCLK:8]
  • REGCLKB=[REGCLKB_INV:0] [REGCLKB:8]
  • RSTRAMARSTRAM=[RSTRAMARSTRAM:8] [RSTRAMARSTRAM_INV:0]
  • RSTRAMB=[RSTRAMB:8] [RSTRAMB_INV:0]
  • RSTREGARSTREG=[RSTREGARSTREG_INV:0] [RSTREGARSTREG:8]
  • RSTREGB=[RSTREGB_INV:0] [RSTREGB:8]
RAMB18E1_RAMB18E1
  • CLKARDCLK=[CLKARDCLK:8] [CLKARDCLK_INV:0]
  • CLKBWRCLK=[CLKBWRCLK:8] [CLKBWRCLK_INV:0]
  • DOA_REG=[0:8]
  • DOB_REG=[0:8]
  • ENARDEN=[ENARDEN_INV:0] [ENARDEN:8]
  • ENBWREN=[ENBWREN_INV:0] [ENBWREN:8]
  • EN_PWRGATE=[NONE:8]
  • RAM_MODE=[TDP:4] [SDP:4]
  • RDADDR_COLLISION_HWCONFIG=[DELAYED_WRITE:8]
  • READ_WIDTH_A=[9:4] [36:4]
  • READ_WIDTH_B=[0:4] [9:4]
  • REGCLKARDRCLK=[REGCLKARDRCLK_INV:0] [REGCLKARDRCLK:8]
  • REGCLKB=[REGCLKB_INV:0] [REGCLKB:8]
  • RSTRAMARSTRAM=[RSTRAMARSTRAM:8] [RSTRAMARSTRAM_INV:0]
  • RSTRAMB=[RSTRAMB:8] [RSTRAMB_INV:0]
  • RSTREGARSTREG=[RSTREGARSTREG_INV:0] [RSTREGARSTREG:8]
  • RSTREGB=[RSTREGB_INV:0] [RSTREGB:8]
  • RSTREG_PRIORITY_A=[RSTREG:8]
  • RSTREG_PRIORITY_B=[RSTREG:8]
  • SAVEDATA=[FALSE:8]
  • WRITE_MODE_A=[WRITE_FIRST:8]
  • WRITE_MODE_B=[WRITE_FIRST:8]
  • WRITE_WIDTH_A=[0:4] [9:4]
  • WRITE_WIDTH_B=[0:4] [36:4]
RAMB36E1
  • CLKARDCLKL=[CLKARDCLKL:32] [CLKARDCLKL_INV:0]
  • CLKARDCLKU=[CLKARDCLKU_INV:0] [CLKARDCLKU:32]
  • CLKBWRCLKL=[CLKBWRCLKL_INV:0] [CLKBWRCLKL:32]
  • CLKBWRCLKU=[CLKBWRCLKU:32] [CLKBWRCLKU_INV:0]
  • ENARDENL=[ENARDENL_INV:0] [ENARDENL:32]
  • ENARDENU=[ENARDENU_INV:0] [ENARDENU:32]
  • ENBWRENL=[ENBWRENL:32] [ENBWRENL_INV:0]
  • ENBWRENU=[ENBWRENU_INV:0] [ENBWRENU:32]
  • REGCLKARDRCLKL=[REGCLKARDRCLKL_INV:0] [REGCLKARDRCLKL:32]
  • REGCLKARDRCLKU=[REGCLKARDRCLKU:32] [REGCLKARDRCLKU_INV:0]
  • REGCLKBL=[REGCLKBL_INV:0] [REGCLKBL:32]
  • REGCLKBU=[REGCLKBU:32] [REGCLKBU_INV:0]
  • RSTRAMARSTRAML=[RSTRAMARSTRAML_INV:0] [RSTRAMARSTRAML:32]
  • RSTRAMARSTRAMU=[RSTRAMARSTRAMU:32] [RSTRAMARSTRAMU_INV:0]
  • RSTRAMBL=[RSTRAMBL_INV:0] [RSTRAMBL:32]
  • RSTRAMBU=[RSTRAMBU:32] [RSTRAMBU_INV:0]
  • RSTREGARSTREGL=[RSTREGARSTREGL:32] [RSTREGARSTREGL_INV:0]
  • RSTREGARSTREGU=[RSTREGARSTREGU_INV:0] [RSTREGARSTREGU:32]
  • RSTREGBL=[RSTREGBL:32] [RSTREGBL_INV:0]
  • RSTREGBU=[RSTREGBU:32] [RSTREGBU_INV:0]
RAMB36E1_RAMB36E1
  • CLKARDCLKL=[CLKARDCLKL:32] [CLKARDCLKL_INV:0]
  • CLKARDCLKU=[CLKARDCLKU_INV:0] [CLKARDCLKU:32]
  • CLKBWRCLKL=[CLKBWRCLKL_INV:0] [CLKBWRCLKL:32]
  • CLKBWRCLKU=[CLKBWRCLKU:32] [CLKBWRCLKU_INV:0]
  • DOA_REG=[0:28] [1:4]
  • DOB_REG=[0:28] [1:4]
  • ENARDENL=[ENARDENL_INV:0] [ENARDENL:32]
  • ENARDENU=[ENARDENU_INV:0] [ENARDENU:32]
  • ENBWRENL=[ENBWRENL:32] [ENBWRENL_INV:0]
  • ENBWRENU=[ENBWRENU_INV:0] [ENBWRENU:32]
  • EN_ECC_READ=[FALSE:32]
  • EN_ECC_WRITE=[FALSE:32]
  • EN_PWRGATE=[NONE:32]
  • RAM_EXTENSION_A=[NONE:32]
  • RAM_EXTENSION_B=[NONE:32]
  • RAM_MODE=[TDP:4] [SDP:28]
  • RDADDR_COLLISION_HWCONFIG=[DELAYED_WRITE:32]
  • READ_WIDTH_A=[36:4] [72:28]
  • READ_WIDTH_B=[0:32]
  • REGCLKARDRCLKL=[REGCLKARDRCLKL_INV:0] [REGCLKARDRCLKL:32]
  • REGCLKARDRCLKU=[REGCLKARDRCLKU:32] [REGCLKARDRCLKU_INV:0]
  • REGCLKBL=[REGCLKBL_INV:0] [REGCLKBL:32]
  • REGCLKBU=[REGCLKBU:32] [REGCLKBU_INV:0]
  • RSTRAMARSTRAML=[RSTRAMARSTRAML_INV:0] [RSTRAMARSTRAML:32]
  • RSTRAMARSTRAMU=[RSTRAMARSTRAMU:32] [RSTRAMARSTRAMU_INV:0]
  • RSTRAMBL=[RSTRAMBL_INV:0] [RSTRAMBL:32]
  • RSTRAMBU=[RSTRAMBU:32] [RSTRAMBU_INV:0]
  • RSTREGARSTREGL=[RSTREGARSTREGL:32] [RSTREGARSTREGL_INV:0]
  • RSTREGARSTREGU=[RSTREGARSTREGU_INV:0] [RSTREGARSTREGU:32]
  • RSTREGBL=[RSTREGBL:32] [RSTREGBL_INV:0]
  • RSTREGBU=[RSTREGBU:32] [RSTREGBU_INV:0]
  • RSTREG_PRIORITY_A=[RSTREG:32]
  • RSTREG_PRIORITY_B=[RSTREG:32]
  • SAVEDATA=[FALSE:32]
  • WRITE_MODE_A=[WRITE_FIRST:32]
  • WRITE_MODE_B=[WRITE_FIRST:32]
  • WRITE_WIDTH_A=[0:32]
  • WRITE_WIDTH_B=[36:4] [72:28]
REG_INIT
  • CK=[CK:16388] [CK_INV:0]
  • FFINIT=[INIT0:15967] [INIT1:421]
  • FFSR=[SRLOW:15977] [SRHIGH:411]
  • LATCH_OR_FF=[FF:16388]
  • SYNC_ATTR=[ASYNC:12878] [SYNC:3510]
SELMUX2_1
  • 0=[0:308] [0_INV:0]
SLICEL
  • CLK=[CLK:5594] [CLK_INV:0]
SLICEM
  • CLK=[CLK:496] [CLK_INV:0]
 
Pin Data
BUFFER
  • I=34
  • O=34
BUFG
  • I0=6
  • O=6
BUFGCTRL
  • CE0=1
  • CE1=1
  • I0=1
  • I1=1
  • IGNORE0=1
  • IGNORE1=1
  • O=1
  • S0=1
  • S1=1
BUFGCTRL_BUFGCTRL
  • CE0=1
  • CE1=1
  • I0=1
  • I1=1
  • IGNORE0=1
  • IGNORE1=1
  • O=1
  • S0=1
  • S1=1
BUFG_BUFG
  • I0=6
  • O=6
CARRY4
  • CIN=198
  • CO0=12
  • CO1=8
  • CO3=200
  • CYINIT=92
  • DI0=276
  • DI1=246
  • DI2=234
  • DI3=200
  • O0=208
  • O1=199
  • O2=182
  • O3=179
  • S0=290
  • S1=264
  • S2=238
  • S3=234
FF_INIT
  • CE=2366
  • CK=5388
  • D=5388
  • Q=5388
  • SR=4488
GTXE2_CHANNEL
  • CFGRESET=8
  • CLKRSVD0=8
  • CLKRSVD1=8
  • CLKRSVD2=8
  • CLKRSVD3=8
  • CPLLLOCK=8
  • CPLLLOCKDETCLK=8
  • CPLLLOCKEN=8
  • CPLLPD=8
  • CPLLREFCLKSEL0=8
  • CPLLREFCLKSEL1=8
  • CPLLREFCLKSEL2=8
  • CPLLRESET=8
  • DRPADDR0=8
  • DRPADDR1=8
  • DRPADDR2=8
  • DRPADDR3=8
  • DRPADDR4=8
  • DRPADDR5=8
  • DRPADDR6=8
  • DRPADDR7=8
  • DRPADDR8=8
  • DRPCLK=8
  • DRPDI0=8
  • DRPDI1=8
  • DRPDI10=8
  • DRPDI11=8
  • DRPDI12=8
  • DRPDI13=8
  • DRPDI14=8
  • DRPDI15=8
  • DRPDI2=8
  • DRPDI3=8
  • DRPDI4=8
  • DRPDI5=8
  • DRPDI6=8
  • DRPDI7=8
  • DRPDI8=8
  • DRPDI9=8
  • DRPDO0=8
  • DRPDO1=8
  • DRPDO10=8
  • DRPDO11=8
  • DRPDO12=8
  • DRPDO13=8
  • DRPDO14=8
  • DRPDO15=8
  • DRPDO2=8
  • DRPDO3=8
  • DRPDO4=8
  • DRPDO5=8
  • DRPDO6=8
  • DRPDO7=8
  • DRPDO8=8
  • DRPDO9=8
  • DRPEN=8
  • DRPRDY=8
  • DRPWE=8
  • EYESCANMODE=8
  • EYESCANRESET=8
  • EYESCANTRIGGER=8
  • GTREFCLK0=8
  • GTRESETSEL=8
  • GTRSVD0=8
  • GTRSVD1=8
  • GTRSVD10=8
  • GTRSVD11=8
  • GTRSVD12=8
  • GTRSVD13=8
  • GTRSVD14=8
  • GTRSVD15=8
  • GTRSVD2=8
  • GTRSVD3=8
  • GTRSVD4=8
  • GTRSVD5=8
  • GTRSVD6=8
  • GTRSVD7=8
  • GTRSVD8=8
  • GTRSVD9=8
  • GTRXRESET=8
  • GTTXRESET=8
  • GTXRXN=8
  • GTXRXP=8
  • GTXTXN=8
  • GTXTXP=8
  • LOOPBACK0=8
  • LOOPBACK1=8
  • LOOPBACK2=8
  • PCSRSVDIN0=8
  • PCSRSVDIN1=8
  • PCSRSVDIN10=8
  • PCSRSVDIN11=8
  • PCSRSVDIN12=8
  • PCSRSVDIN13=8
  • PCSRSVDIN14=8
  • PCSRSVDIN15=8
  • PCSRSVDIN2=8
  • PCSRSVDIN20=8
  • PCSRSVDIN21=8
  • PCSRSVDIN22=8
  • PCSRSVDIN23=8
  • PCSRSVDIN24=8
  • PCSRSVDIN3=8
  • PCSRSVDIN4=8
  • PCSRSVDIN5=8
  • PCSRSVDIN6=8
  • PCSRSVDIN7=8
  • PCSRSVDIN8=8
  • PCSRSVDIN9=8
  • PHYSTATUS=8
  • PMARSVDIN0=8
  • PMARSVDIN1=8
  • PMARSVDIN2=8
  • PMARSVDIN20=8
  • PMARSVDIN21=8
  • PMARSVDIN22=8
  • PMARSVDIN23=8
  • PMARSVDIN24=8
  • PMARSVDIN3=8
  • PMARSVDIN4=8
  • QPLLCLK=8
  • QPLLREFCLK=8
  • RESETOVRD=8
  • RX8B10BEN=8
  • RXBUFRESET=8
  • RXCDRFREQRESET=8
  • RXCDRHOLD=8
  • RXCDROVRDEN=8
  • RXCDRRESET=8
  • RXCDRRESETRSV=8
  • RXCHANISALIGNED=8
  • RXCHARISK0=8
  • RXCHARISK1=8
  • RXCHBONDEN=8
  • RXCHBONDI0=8
  • RXCHBONDI1=8
  • RXCHBONDI2=8
  • RXCHBONDI3=8
  • RXCHBONDI4=8
  • RXCHBONDLEVEL0=8
  • RXCHBONDLEVEL1=8
  • RXCHBONDLEVEL2=8
  • RXCHBONDMASTER=8
  • RXCHBONDO0=1
  • RXCHBONDO1=1
  • RXCHBONDO2=1
  • RXCHBONDO3=1
  • RXCHBONDO4=1
  • RXCHBONDSLAVE=8
  • RXCOMMADETEN=8
  • RXDATA0=8
  • RXDATA1=8
  • RXDATA10=8
  • RXDATA11=8
  • RXDATA12=8
  • RXDATA13=8
  • RXDATA14=8
  • RXDATA15=8
  • RXDATA2=8
  • RXDATA3=8
  • RXDATA4=8
  • RXDATA5=8
  • RXDATA6=8
  • RXDATA7=8
  • RXDATA8=8
  • RXDATA9=8
  • RXDDIEN=8
  • RXDFEAGCHOLD=8
  • RXDFEAGCOVRDEN=8
  • RXDFECM1EN=8
  • RXDFELFHOLD=8
  • RXDFELFOVRDEN=8
  • RXDFELPMRESET=8
  • RXDFETAP2HOLD=8
  • RXDFETAP2OVRDEN=8
  • RXDFETAP3HOLD=8
  • RXDFETAP3OVRDEN=8
  • RXDFETAP4HOLD=8
  • RXDFETAP4OVRDEN=8
  • RXDFETAP5HOLD=8
  • RXDFETAP5OVRDEN=8
  • RXDFEUTHOLD=8
  • RXDFEUTOVRDEN=8
  • RXDFEVPHOLD=8
  • RXDFEVPOVRDEN=8
  • RXDFEVSEN=8
  • RXDFEXYDEN=8
  • RXDFEXYDHOLD=8
  • RXDFEXYDOVRDEN=8
  • RXDLYBYPASS=8
  • RXDLYEN=8
  • RXDLYOVRDEN=8
  • RXDLYSRESET=8
  • RXELECIDLE=8
  • RXELECIDLEMODE0=8
  • RXELECIDLEMODE1=8
  • RXGEARBOXSLIP=8
  • RXLPMEN=8
  • RXLPMHFHOLD=8
  • RXLPMHFOVRDEN=8
  • RXLPMLFHOLD=8
  • RXLPMLFKLOVRDEN=8
  • RXMCOMMAALIGNEN=8
  • RXMONITORSEL0=8
  • RXMONITORSEL1=8
  • RXOOBRESET=8
  • RXOSHOLD=8
  • RXOSOVRDEN=8
  • RXOUTCLKSEL0=8
  • RXOUTCLKSEL1=8
  • RXOUTCLKSEL2=8
  • RXPCOMMAALIGNEN=8
  • RXPCSRESET=8
  • RXPD0=8
  • RXPD1=8
  • RXPHALIGN=8
  • RXPHALIGNEN=8
  • RXPHDLYPD=8
  • RXPHDLYRESET=8
  • RXPHOVRDEN=8
  • RXPMARESET=8
  • RXPOLARITY=8
  • RXPRBSCNTRESET=8
  • RXPRBSSEL0=8
  • RXPRBSSEL1=8
  • RXPRBSSEL2=8
  • RXQPIEN=8
  • RXRATE0=8
  • RXRATE1=8
  • RXRATE2=8
  • RXRATEDONE=8
  • RXRESETDONE=8
  • RXSLIDE=8
  • RXSTATUS0=8
  • RXSTATUS1=8
  • RXSTATUS2=8
  • RXSYSCLKSEL0=8
  • RXSYSCLKSEL1=8
  • RXUSERRDY=8
  • RXUSRCLK=8
  • RXUSRCLK2=8
  • RXVALID=8
  • SETERRSTATUS=8
  • TSTIN0=8
  • TSTIN1=8
  • TSTIN10=8
  • TSTIN11=8
  • TSTIN12=8
  • TSTIN13=8
  • TSTIN14=8
  • TSTIN15=8
  • TSTIN16=8
  • TSTIN17=8
  • TSTIN18=8
  • TSTIN19=8
  • TSTIN2=8
  • TSTIN3=8
  • TSTIN4=8
  • TSTIN5=8
  • TSTIN6=8
  • TSTIN7=8
  • TSTIN8=8
  • TSTIN9=8
  • TX8B10BBYPASS0=8
  • TX8B10BBYPASS1=8
  • TX8B10BBYPASS2=8
  • TX8B10BBYPASS3=8
  • TX8B10BBYPASS4=8
  • TX8B10BBYPASS5=8
  • TX8B10BBYPASS6=8
  • TX8B10BBYPASS7=8
  • TX8B10BEN=8
  • TXBUFDIFFCTRL0=8
  • TXBUFDIFFCTRL1=8
  • TXBUFDIFFCTRL2=8
  • TXCHARDISPMODE0=8
  • TXCHARDISPMODE1=8
  • TXCHARDISPMODE2=8
  • TXCHARDISPMODE3=8
  • TXCHARDISPMODE4=8
  • TXCHARDISPMODE5=8
  • TXCHARDISPMODE6=8
  • TXCHARDISPMODE7=8
  • TXCHARDISPVAL0=8
  • TXCHARDISPVAL1=8
  • TXCHARDISPVAL2=8
  • TXCHARDISPVAL3=8
  • TXCHARDISPVAL4=8
  • TXCHARDISPVAL5=8
  • TXCHARDISPVAL6=8
  • TXCHARDISPVAL7=8
  • TXCHARISK0=8
  • TXCHARISK1=8
  • TXCHARISK2=8
  • TXCHARISK3=8
  • TXCHARISK4=8
  • TXCHARISK5=8
  • TXCHARISK6=8
  • TXCHARISK7=8
  • TXCOMINIT=8
  • TXCOMSAS=8
  • TXCOMWAKE=8
  • TXDATA0=8
  • TXDATA1=8
  • TXDATA10=8
  • TXDATA11=8
  • TXDATA12=8
  • TXDATA13=8
  • TXDATA14=8
  • TXDATA15=8
  • TXDATA16=8
  • TXDATA17=8
  • TXDATA18=8
  • TXDATA19=8
  • TXDATA2=8
  • TXDATA20=8
  • TXDATA21=8
  • TXDATA22=8
  • TXDATA23=8
  • TXDATA24=8
  • TXDATA25=8
  • TXDATA26=8
  • TXDATA27=8
  • TXDATA28=8
  • TXDATA29=8
  • TXDATA3=8
  • TXDATA30=8
  • TXDATA31=8
  • TXDATA32=8
  • TXDATA33=8
  • TXDATA34=8
  • TXDATA35=8
  • TXDATA36=8
  • TXDATA37=8
  • TXDATA38=8
  • TXDATA39=8
  • TXDATA4=8
  • TXDATA40=8
  • TXDATA41=8
  • TXDATA42=8
  • TXDATA43=8
  • TXDATA44=8
  • TXDATA45=8
  • TXDATA46=8
  • TXDATA47=8
  • TXDATA48=8
  • TXDATA49=8
  • TXDATA5=8
  • TXDATA50=8
  • TXDATA51=8
  • TXDATA52=8
  • TXDATA53=8
  • TXDATA54=8
  • TXDATA55=8
  • TXDATA56=8
  • TXDATA57=8
  • TXDATA58=8
  • TXDATA59=8
  • TXDATA6=8
  • TXDATA60=8
  • TXDATA61=8
  • TXDATA62=8
  • TXDATA63=8
  • TXDATA7=8
  • TXDATA8=8
  • TXDATA9=8
  • TXDEEMPH=8
  • TXDETECTRX=8
  • TXDIFFCTRL0=8
  • TXDIFFCTRL1=8
  • TXDIFFCTRL2=8
  • TXDIFFCTRL3=8
  • TXDIFFPD=8
  • TXDLYBYPASS=8
  • TXDLYEN=8
  • TXDLYHOLD=8
  • TXDLYOVRDEN=8
  • TXDLYSRESET=8
  • TXDLYSRESETDONE=8
  • TXDLYUPDOWN=8
  • TXELECIDLE=8
  • TXHEADER0=8
  • TXHEADER1=8
  • TXHEADER2=8
  • TXINHIBIT=8
  • TXMAINCURSOR0=8
  • TXMAINCURSOR1=8
  • TXMAINCURSOR2=8
  • TXMAINCURSOR3=8
  • TXMAINCURSOR4=8
  • TXMAINCURSOR5=8
  • TXMAINCURSOR6=8
  • TXMARGIN0=8
  • TXMARGIN1=8
  • TXMARGIN2=8
  • TXOUTCLK=1
  • TXOUTCLKSEL0=8
  • TXOUTCLKSEL1=8
  • TXOUTCLKSEL2=8
  • TXPCSRESET=8
  • TXPD0=8
  • TXPD1=8
  • TXPDELECIDLEMODE=8
  • TXPHALIGN=8
  • TXPHALIGNDONE=8
  • TXPHALIGNEN=8
  • TXPHDLYPD=8
  • TXPHDLYRESET=8
  • TXPHDLYTSTCLK=8
  • TXPHINIT=8
  • TXPHINITDONE=8
  • TXPHOVRDEN=8
  • TXPISOPD=8
  • TXPMARESET=8
  • TXPOLARITY=8
  • TXPOSTCURSOR0=8
  • TXPOSTCURSOR1=8
  • TXPOSTCURSOR2=8
  • TXPOSTCURSOR3=8
  • TXPOSTCURSOR4=8
  • TXPOSTCURSORINV=8
  • TXPRBSFORCEERR=8
  • TXPRBSSEL0=8
  • TXPRBSSEL1=8
  • TXPRBSSEL2=8
  • TXPRECURSOR0=8
  • TXPRECURSOR1=8
  • TXPRECURSOR2=8
  • TXPRECURSOR3=8
  • TXPRECURSOR4=8
  • TXPRECURSORINV=8
  • TXQPIBIASEN=8
  • TXQPISTRONGPDOWN=8
  • TXQPIWEAKPUP=8
  • TXRATE0=8
  • TXRATE1=8
  • TXRATE2=8
  • TXRATEDONE=8
  • TXRESETDONE=8
  • TXSEQUENCE0=8
  • TXSEQUENCE1=8
  • TXSEQUENCE2=8
  • TXSEQUENCE3=8
  • TXSEQUENCE4=8
  • TXSEQUENCE5=8
  • TXSEQUENCE6=8
  • TXSTARTSEQ=8
  • TXSWING=8
  • TXSYSCLKSEL0=8
  • TXSYSCLKSEL1=8
  • TXUSERRDY=8
  • TXUSRCLK=8
  • TXUSRCLK2=8
GTXE2_CHANNEL_GTXE2_CHANNEL
  • CFGRESET=8
  • CLKRSVD0=8
  • CLKRSVD1=8
  • CLKRSVD2=8
  • CLKRSVD3=8
  • CPLLLOCK=8
  • CPLLLOCKDETCLK=8
  • CPLLLOCKEN=8
  • CPLLPD=8
  • CPLLREFCLKSEL0=8
  • CPLLREFCLKSEL1=8
  • CPLLREFCLKSEL2=8
  • CPLLRESET=8
  • DRPADDR0=8
  • DRPADDR1=8
  • DRPADDR2=8
  • DRPADDR3=8
  • DRPADDR4=8
  • DRPADDR5=8
  • DRPADDR6=8
  • DRPADDR7=8
  • DRPADDR8=8
  • DRPCLK=8
  • DRPDI0=8
  • DRPDI1=8
  • DRPDI10=8
  • DRPDI11=8
  • DRPDI12=8
  • DRPDI13=8
  • DRPDI14=8
  • DRPDI15=8
  • DRPDI2=8
  • DRPDI3=8
  • DRPDI4=8
  • DRPDI5=8
  • DRPDI6=8
  • DRPDI7=8
  • DRPDI8=8
  • DRPDI9=8
  • DRPDO0=8
  • DRPDO1=8
  • DRPDO10=8
  • DRPDO11=8
  • DRPDO12=8
  • DRPDO13=8
  • DRPDO14=8
  • DRPDO15=8
  • DRPDO2=8
  • DRPDO3=8
  • DRPDO4=8
  • DRPDO5=8
  • DRPDO6=8
  • DRPDO7=8
  • DRPDO8=8
  • DRPDO9=8
  • DRPEN=8
  • DRPRDY=8
  • DRPWE=8
  • EYESCANMODE=8
  • EYESCANRESET=8
  • EYESCANTRIGGER=8
  • GTREFCLK0=8
  • GTRESETSEL=8
  • GTRSVD0=8
  • GTRSVD1=8
  • GTRSVD10=8
  • GTRSVD11=8
  • GTRSVD12=8
  • GTRSVD13=8
  • GTRSVD14=8
  • GTRSVD15=8
  • GTRSVD2=8
  • GTRSVD3=8
  • GTRSVD4=8
  • GTRSVD5=8
  • GTRSVD6=8
  • GTRSVD7=8
  • GTRSVD8=8
  • GTRSVD9=8
  • GTRXRESET=8
  • GTTXRESET=8
  • GTXRXN=8
  • GTXRXP=8
  • GTXTXN=8
  • GTXTXP=8
  • LOOPBACK0=8
  • LOOPBACK1=8
  • LOOPBACK2=8
  • PCSRSVDIN0=8
  • PCSRSVDIN1=8
  • PCSRSVDIN10=8
  • PCSRSVDIN11=8
  • PCSRSVDIN12=8
  • PCSRSVDIN13=8
  • PCSRSVDIN14=8
  • PCSRSVDIN15=8
  • PCSRSVDIN2=8
  • PCSRSVDIN20=8
  • PCSRSVDIN21=8
  • PCSRSVDIN22=8
  • PCSRSVDIN23=8
  • PCSRSVDIN24=8
  • PCSRSVDIN3=8
  • PCSRSVDIN4=8
  • PCSRSVDIN5=8
  • PCSRSVDIN6=8
  • PCSRSVDIN7=8
  • PCSRSVDIN8=8
  • PCSRSVDIN9=8
  • PHYSTATUS=8
  • PMARSVDIN0=8
  • PMARSVDIN1=8
  • PMARSVDIN2=8
  • PMARSVDIN20=8
  • PMARSVDIN21=8
  • PMARSVDIN22=8
  • PMARSVDIN23=8
  • PMARSVDIN24=8
  • PMARSVDIN3=8
  • PMARSVDIN4=8
  • QPLLCLK=8
  • QPLLREFCLK=8
  • RESETOVRD=8
  • RX8B10BEN=8
  • RXBUFRESET=8
  • RXCDRFREQRESET=8
  • RXCDRHOLD=8
  • RXCDROVRDEN=8
  • RXCDRRESET=8
  • RXCDRRESETRSV=8
  • RXCHANISALIGNED=8
  • RXCHARISK0=8
  • RXCHARISK1=8
  • RXCHBONDEN=8
  • RXCHBONDI0=8
  • RXCHBONDI1=8
  • RXCHBONDI2=8
  • RXCHBONDI3=8
  • RXCHBONDI4=8
  • RXCHBONDLEVEL0=8
  • RXCHBONDLEVEL1=8
  • RXCHBONDLEVEL2=8
  • RXCHBONDMASTER=8
  • RXCHBONDO0=1
  • RXCHBONDO1=1
  • RXCHBONDO2=1
  • RXCHBONDO3=1
  • RXCHBONDO4=1
  • RXCHBONDSLAVE=8
  • RXCOMMADETEN=8
  • RXDATA0=8
  • RXDATA1=8
  • RXDATA10=8
  • RXDATA11=8
  • RXDATA12=8
  • RXDATA13=8
  • RXDATA14=8
  • RXDATA15=8
  • RXDATA2=8
  • RXDATA3=8
  • RXDATA4=8
  • RXDATA5=8
  • RXDATA6=8
  • RXDATA7=8
  • RXDATA8=8
  • RXDATA9=8
  • RXDDIEN=8
  • RXDFEAGCHOLD=8
  • RXDFEAGCOVRDEN=8
  • RXDFECM1EN=8
  • RXDFELFHOLD=8
  • RXDFELFOVRDEN=8
  • RXDFELPMRESET=8
  • RXDFETAP2HOLD=8
  • RXDFETAP2OVRDEN=8
  • RXDFETAP3HOLD=8
  • RXDFETAP3OVRDEN=8
  • RXDFETAP4HOLD=8
  • RXDFETAP4OVRDEN=8
  • RXDFETAP5HOLD=8
  • RXDFETAP5OVRDEN=8
  • RXDFEUTHOLD=8
  • RXDFEUTOVRDEN=8
  • RXDFEVPHOLD=8
  • RXDFEVPOVRDEN=8
  • RXDFEVSEN=8
  • RXDFEXYDEN=8
  • RXDFEXYDHOLD=8
  • RXDFEXYDOVRDEN=8
  • RXDLYBYPASS=8
  • RXDLYEN=8
  • RXDLYOVRDEN=8
  • RXDLYSRESET=8
  • RXELECIDLE=8
  • RXELECIDLEMODE0=8
  • RXELECIDLEMODE1=8
  • RXGEARBOXSLIP=8
  • RXLPMEN=8
  • RXLPMHFHOLD=8
  • RXLPMHFOVRDEN=8
  • RXLPMLFHOLD=8
  • RXLPMLFKLOVRDEN=8
  • RXMCOMMAALIGNEN=8
  • RXMONITORSEL0=8
  • RXMONITORSEL1=8
  • RXOOBRESET=8
  • RXOSHOLD=8
  • RXOSOVRDEN=8
  • RXOUTCLKSEL0=8
  • RXOUTCLKSEL1=8
  • RXOUTCLKSEL2=8
  • RXPCOMMAALIGNEN=8
  • RXPCSRESET=8
  • RXPD0=8
  • RXPD1=8
  • RXPHALIGN=8
  • RXPHALIGNEN=8
  • RXPHDLYPD=8
  • RXPHDLYRESET=8
  • RXPHOVRDEN=8
  • RXPMARESET=8
  • RXPOLARITY=8
  • RXPRBSCNTRESET=8
  • RXPRBSSEL0=8
  • RXPRBSSEL1=8
  • RXPRBSSEL2=8
  • RXQPIEN=8
  • RXRATE0=8
  • RXRATE1=8
  • RXRATE2=8
  • RXRATEDONE=8
  • RXRESETDONE=8
  • RXSLIDE=8
  • RXSTATUS0=8
  • RXSTATUS1=8
  • RXSTATUS2=8
  • RXSYSCLKSEL0=8
  • RXSYSCLKSEL1=8
  • RXUSERRDY=8
  • RXUSRCLK=8
  • RXUSRCLK2=8
  • RXVALID=8
  • SETERRSTATUS=8
  • TSTIN0=8
  • TSTIN1=8
  • TSTIN10=8
  • TSTIN11=8
  • TSTIN12=8
  • TSTIN13=8
  • TSTIN14=8
  • TSTIN15=8
  • TSTIN16=8
  • TSTIN17=8
  • TSTIN18=8
  • TSTIN19=8
  • TSTIN2=8
  • TSTIN3=8
  • TSTIN4=8
  • TSTIN5=8
  • TSTIN6=8
  • TSTIN7=8
  • TSTIN8=8
  • TSTIN9=8
  • TX8B10BBYPASS0=8
  • TX8B10BBYPASS1=8
  • TX8B10BBYPASS2=8
  • TX8B10BBYPASS3=8
  • TX8B10BBYPASS4=8
  • TX8B10BBYPASS5=8
  • TX8B10BBYPASS6=8
  • TX8B10BBYPASS7=8
  • TX8B10BEN=8
  • TXBUFDIFFCTRL0=8
  • TXBUFDIFFCTRL1=8
  • TXBUFDIFFCTRL2=8
  • TXCHARDISPMODE0=8
  • TXCHARDISPMODE1=8
  • TXCHARDISPMODE2=8
  • TXCHARDISPMODE3=8
  • TXCHARDISPMODE4=8
  • TXCHARDISPMODE5=8
  • TXCHARDISPMODE6=8
  • TXCHARDISPMODE7=8
  • TXCHARDISPVAL0=8
  • TXCHARDISPVAL1=8
  • TXCHARDISPVAL2=8
  • TXCHARDISPVAL3=8
  • TXCHARDISPVAL4=8
  • TXCHARDISPVAL5=8
  • TXCHARDISPVAL6=8
  • TXCHARDISPVAL7=8
  • TXCHARISK0=8
  • TXCHARISK1=8
  • TXCHARISK2=8
  • TXCHARISK3=8
  • TXCHARISK4=8
  • TXCHARISK5=8
  • TXCHARISK6=8
  • TXCHARISK7=8
  • TXCOMINIT=8
  • TXCOMSAS=8
  • TXCOMWAKE=8
  • TXDATA0=8
  • TXDATA1=8
  • TXDATA10=8
  • TXDATA11=8
  • TXDATA12=8
  • TXDATA13=8
  • TXDATA14=8
  • TXDATA15=8
  • TXDATA16=8
  • TXDATA17=8
  • TXDATA18=8
  • TXDATA19=8
  • TXDATA2=8
  • TXDATA20=8
  • TXDATA21=8
  • TXDATA22=8
  • TXDATA23=8
  • TXDATA24=8
  • TXDATA25=8
  • TXDATA26=8
  • TXDATA27=8
  • TXDATA28=8
  • TXDATA29=8
  • TXDATA3=8
  • TXDATA30=8
  • TXDATA31=8
  • TXDATA32=8
  • TXDATA33=8
  • TXDATA34=8
  • TXDATA35=8
  • TXDATA36=8
  • TXDATA37=8
  • TXDATA38=8
  • TXDATA39=8
  • TXDATA4=8
  • TXDATA40=8
  • TXDATA41=8
  • TXDATA42=8
  • TXDATA43=8
  • TXDATA44=8
  • TXDATA45=8
  • TXDATA46=8
  • TXDATA47=8
  • TXDATA48=8
  • TXDATA49=8
  • TXDATA5=8
  • TXDATA50=8
  • TXDATA51=8
  • TXDATA52=8
  • TXDATA53=8
  • TXDATA54=8
  • TXDATA55=8
  • TXDATA56=8
  • TXDATA57=8
  • TXDATA58=8
  • TXDATA59=8
  • TXDATA6=8
  • TXDATA60=8
  • TXDATA61=8
  • TXDATA62=8
  • TXDATA63=8
  • TXDATA7=8
  • TXDATA8=8
  • TXDATA9=8
  • TXDEEMPH=8
  • TXDETECTRX=8
  • TXDIFFCTRL0=8
  • TXDIFFCTRL1=8
  • TXDIFFCTRL2=8
  • TXDIFFCTRL3=8
  • TXDIFFPD=8
  • TXDLYBYPASS=8
  • TXDLYEN=8
  • TXDLYHOLD=8
  • TXDLYOVRDEN=8
  • TXDLYSRESET=8
  • TXDLYSRESETDONE=8
  • TXDLYUPDOWN=8
  • TXELECIDLE=8
  • TXHEADER0=8
  • TXHEADER1=8
  • TXHEADER2=8
  • TXINHIBIT=8
  • TXMAINCURSOR0=8
  • TXMAINCURSOR1=8
  • TXMAINCURSOR2=8
  • TXMAINCURSOR3=8
  • TXMAINCURSOR4=8
  • TXMAINCURSOR5=8
  • TXMAINCURSOR6=8
  • TXMARGIN0=8
  • TXMARGIN1=8
  • TXMARGIN2=8
  • TXOUTCLK=1
  • TXOUTCLKSEL0=8
  • TXOUTCLKSEL1=8
  • TXOUTCLKSEL2=8
  • TXPCSRESET=8
  • TXPD0=8
  • TXPD1=8
  • TXPDELECIDLEMODE=8
  • TXPHALIGN=8
  • TXPHALIGNDONE=8
  • TXPHALIGNEN=8
  • TXPHDLYPD=8
  • TXPHDLYRESET=8
  • TXPHDLYTSTCLK=8
  • TXPHINIT=8
  • TXPHINITDONE=8
  • TXPHOVRDEN=8
  • TXPISOPD=8
  • TXPMARESET=8
  • TXPOLARITY=8
  • TXPOSTCURSOR0=8
  • TXPOSTCURSOR1=8
  • TXPOSTCURSOR2=8
  • TXPOSTCURSOR3=8
  • TXPOSTCURSOR4=8
  • TXPOSTCURSORINV=8
  • TXPRBSFORCEERR=8
  • TXPRBSSEL0=8
  • TXPRBSSEL1=8
  • TXPRBSSEL2=8
  • TXPRECURSOR0=8
  • TXPRECURSOR1=8
  • TXPRECURSOR2=8
  • TXPRECURSOR3=8
  • TXPRECURSOR4=8
  • TXPRECURSORINV=8
  • TXQPIBIASEN=8
  • TXQPISTRONGPDOWN=8
  • TXQPIWEAKPUP=8
  • TXRATE0=8
  • TXRATE1=8
  • TXRATE2=8
  • TXRATEDONE=8
  • TXRESETDONE=8
  • TXSEQUENCE0=8
  • TXSEQUENCE1=8
  • TXSEQUENCE2=8
  • TXSEQUENCE3=8
  • TXSEQUENCE4=8
  • TXSEQUENCE5=8
  • TXSEQUENCE6=8
  • TXSTARTSEQ=8
  • TXSWING=8
  • TXSYSCLKSEL0=8
  • TXSYSCLKSEL1=8
  • TXUSERRDY=8
  • TXUSRCLK=8
  • TXUSRCLK2=8
GTXE2_COMMON
  • DRPADDR0=2
  • DRPADDR1=2
  • DRPADDR2=2
  • DRPADDR3=2
  • DRPADDR4=2
  • DRPADDR5=2
  • DRPADDR6=2
  • DRPADDR7=2
  • DRPCLK=2
  • DRPDI0=2
  • DRPDI1=2
  • DRPDI10=2
  • DRPDI11=2
  • DRPDI12=2
  • DRPDI13=2
  • DRPDI14=2
  • DRPDI15=2
  • DRPDI2=2
  • DRPDI3=2
  • DRPDI4=2
  • DRPDI5=2
  • DRPDI6=2
  • DRPDI7=2
  • DRPDI8=2
  • DRPDI9=2
  • DRPDO0=2
  • DRPDO1=2
  • DRPDO10=2
  • DRPDO11=2
  • DRPDO12=2
  • DRPDO13=2
  • DRPDO14=2
  • DRPDO15=2
  • DRPDO2=2
  • DRPDO3=2
  • DRPDO4=2
  • DRPDO5=2
  • DRPDO6=2
  • DRPDO7=2
  • DRPDO8=2
  • DRPDO9=2
  • DRPEN=2
  • DRPRDY=2
  • DRPWE=2
  • GTREFCLK0=2
  • PMARSVD0=2
  • PMARSVD1=2
  • PMARSVD2=2
  • PMARSVD3=2
  • PMARSVD4=2
  • PMARSVD5=2
  • PMARSVD6=2
  • PMARSVD7=2
  • QPLLLOCK=2
  • QPLLLOCKDETCLK=2
  • QPLLLOCKEN=2
  • QPLLOUTCLK=2
  • QPLLOUTREFCLK=2
  • QPLLOUTRESET=2
  • QPLLPD=2
  • QPLLREFCLKSEL0=2
  • QPLLREFCLKSEL1=2
  • QPLLREFCLKSEL2=2
  • QPLLRESET=2
  • QPLLRSVD10=2
  • QPLLRSVD11=2
  • QPLLRSVD110=2
  • QPLLRSVD111=2
  • QPLLRSVD112=2
  • QPLLRSVD113=2
  • QPLLRSVD114=2
  • QPLLRSVD115=2
  • QPLLRSVD12=2
  • QPLLRSVD13=2
  • QPLLRSVD14=2
  • QPLLRSVD15=2
  • QPLLRSVD16=2
  • QPLLRSVD17=2
  • QPLLRSVD18=2
  • QPLLRSVD19=2
GTXE2_COMMON_GTXE2_COMMON
  • DRPADDR0=2
  • DRPADDR1=2
  • DRPADDR2=2
  • DRPADDR3=2
  • DRPADDR4=2
  • DRPADDR5=2
  • DRPADDR6=2
  • DRPADDR7=2
  • DRPCLK=2
  • DRPDI0=2
  • DRPDI1=2
  • DRPDI10=2
  • DRPDI11=2
  • DRPDI12=2
  • DRPDI13=2
  • DRPDI14=2
  • DRPDI15=2
  • DRPDI2=2
  • DRPDI3=2
  • DRPDI4=2
  • DRPDI5=2
  • DRPDI6=2
  • DRPDI7=2
  • DRPDI8=2
  • DRPDI9=2
  • DRPDO0=2
  • DRPDO1=2
  • DRPDO10=2
  • DRPDO11=2
  • DRPDO12=2
  • DRPDO13=2
  • DRPDO14=2
  • DRPDO15=2
  • DRPDO2=2
  • DRPDO3=2
  • DRPDO4=2
  • DRPDO5=2
  • DRPDO6=2
  • DRPDO7=2
  • DRPDO8=2
  • DRPDO9=2
  • DRPEN=2
  • DRPRDY=2
  • DRPWE=2
  • GTREFCLK0=2
  • PMARSVD0=2
  • PMARSVD1=2
  • PMARSVD2=2
  • PMARSVD3=2
  • PMARSVD4=2
  • PMARSVD5=2
  • PMARSVD6=2
  • PMARSVD7=2
  • QPLLLOCK=2
  • QPLLLOCKDETCLK=2
  • QPLLLOCKEN=2
  • QPLLOUTCLK=2
  • QPLLOUTREFCLK=2
  • QPLLOUTRESET=2
  • QPLLPD=2
  • QPLLREFCLKSEL0=2
  • QPLLREFCLKSEL1=2
  • QPLLREFCLKSEL2=2
  • QPLLRESET=2
  • QPLLRSVD10=2
  • QPLLRSVD11=2
  • QPLLRSVD110=2
  • QPLLRSVD111=2
  • QPLLRSVD112=2
  • QPLLRSVD113=2
  • QPLLRSVD114=2
  • QPLLRSVD115=2
  • QPLLRSVD12=2
  • QPLLRSVD13=2
  • QPLLRSVD14=2
  • QPLLRSVD15=2
  • QPLLRSVD16=2
  • QPLLRSVD17=2
  • QPLLRSVD18=2
  • QPLLRSVD19=2
HARD0
  • 0=60
HARD1
  • 1=30
IBUFDS_GTE2
  • CEB=1
  • I=1
  • IB=1
  • O=1
IBUFDS_GTE2_IBUFDS_GTE2
  • CEB=1
  • I=1
  • IB=1
  • O=1
IDELAYCTRL
  • RDY=2
  • REFCLK=2
  • RST=2
IDELAYCTRL_IDELAYCTRL
  • RDY=2
  • REFCLK=2
  • RST=2
IDELAYE2
  • C=64
  • CE=64
  • CINVCTRL=64
  • CNTVALUEIN0=64
  • CNTVALUEIN1=64
  • CNTVALUEIN2=64
  • CNTVALUEIN3=64
  • CNTVALUEIN4=64
  • DATAIN=64
  • DATAOUT=64
  • IDATAIN=64
  • INC=64
  • LD=64
  • LDPIPEEN=64
  • REGRST=64
IDELAYE2_IDELAYE2
  • C=64
  • CE=64
  • CINVCTRL=64
  • CNTVALUEIN0=64
  • CNTVALUEIN1=64
  • CNTVALUEIN2=64
  • CNTVALUEIN3=64
  • CNTVALUEIN4=64
  • DATAIN=64
  • DATAOUT=64
  • IDATAIN=64
  • INC=64
  • LD=64
  • LDPIPEEN=64
  • REGRST=64
INVERTER
  • IN=9
  • OUT=9
IN_FIFO
  • D00=8
  • D01=8
  • D02=8
  • D03=8
  • D10=8
  • D11=8
  • D12=8
  • D13=8
  • D20=8
  • D21=8
  • D22=8
  • D23=8
  • D30=8
  • D31=8
  • D32=8
  • D33=8
  • D40=8
  • D41=8
  • D42=8
  • D43=8
  • D50=8
  • D51=8
  • D52=8
  • D53=8
  • D54=8
  • D55=8
  • D56=8
  • D57=8
  • D60=8
  • D61=8
  • D62=8
  • D63=8
  • D64=8
  • D65=8
  • D66=8
  • D67=8
  • D70=8
  • D71=8
  • D72=8
  • D73=8
  • D80=8
  • D81=8
  • D82=8
  • D83=8
  • D90=8
  • D91=8
  • D92=8
  • D93=8
  • EMPTY=8
  • Q00=2
  • Q01=2
  • Q02=2
  • Q03=2
  • Q04=2
  • Q05=2
  • Q06=2
  • Q07=2
  • Q10=2
  • Q11=2
  • Q12=2
  • Q13=2
  • Q14=8
  • Q15=8
  • Q16=8
  • Q17=8
  • Q20=8
  • Q21=8
  • Q22=8
  • Q23=8
  • Q24=8
  • Q25=8
  • Q26=8
  • Q27=8
  • Q30=8
  • Q31=8
  • Q32=8
  • Q33=8
  • Q34=8
  • Q35=8
  • Q36=8
  • Q37=8
  • Q40=8
  • Q41=8
  • Q42=8
  • Q43=8
  • Q44=8
  • Q45=8
  • Q46=8
  • Q47=8
  • Q50=8
  • Q51=8
  • Q52=8
  • Q53=8
  • Q54=8
  • Q55=8
  • Q56=8
  • Q57=8
  • Q60=8
  • Q61=8
  • Q62=8
  • Q63=8
  • Q64=8
  • Q65=8
  • Q66=8
  • Q67=8
  • Q70=8
  • Q71=8
  • Q72=8
  • Q73=8
  • Q74=8
  • Q75=8
  • Q76=8
  • Q77=8
  • Q80=8
  • Q81=8
  • Q82=6
  • Q83=6
  • Q84=6
  • Q85=6
  • Q86=6
  • Q87=6
  • Q90=8
  • Q91=8
  • Q92=8
  • Q93=8
  • Q94=8
  • Q95=8
  • Q96=8
  • Q97=8
  • RDCLK=8
  • RDEN=8
  • RESET=8
  • WRCLK=8
  • WREN=8
IN_FIFO_IN_FIFO
  • D00=8
  • D01=8
  • D02=8
  • D03=8
  • D10=8
  • D11=8
  • D12=8
  • D13=8
  • D20=8
  • D21=8
  • D22=8
  • D23=8
  • D30=8
  • D31=8
  • D32=8
  • D33=8
  • D40=8
  • D41=8
  • D42=8
  • D43=8
  • D50=8
  • D51=8
  • D52=8
  • D53=8
  • D54=8
  • D55=8
  • D56=8
  • D57=8
  • D60=8
  • D61=8
  • D62=8
  • D63=8
  • D64=8
  • D65=8
  • D66=8
  • D67=8
  • D70=8
  • D71=8
  • D72=8
  • D73=8
  • D80=8
  • D81=8
  • D82=8
  • D83=8
  • D90=8
  • D91=8
  • D92=8
  • D93=8
  • EMPTY=8
  • Q00=2
  • Q01=2
  • Q02=2
  • Q03=2
  • Q04=2
  • Q05=2
  • Q06=2
  • Q07=2
  • Q10=2
  • Q11=2
  • Q12=2
  • Q13=2
  • Q14=8
  • Q15=8
  • Q16=8
  • Q17=8
  • Q20=8
  • Q21=8
  • Q22=8
  • Q23=8
  • Q24=8
  • Q25=8
  • Q26=8
  • Q27=8
  • Q30=8
  • Q31=8
  • Q32=8
  • Q33=8
  • Q34=8
  • Q35=8
  • Q36=8
  • Q37=8
  • Q40=8
  • Q41=8
  • Q42=8
  • Q43=8
  • Q44=8
  • Q45=8
  • Q46=8
  • Q47=8
  • Q50=8
  • Q51=8
  • Q52=8
  • Q53=8
  • Q54=8
  • Q55=8
  • Q56=8
  • Q57=8
  • Q60=8
  • Q61=8
  • Q62=8
  • Q63=8
  • Q64=8
  • Q65=8
  • Q66=8
  • Q67=8
  • Q70=8
  • Q71=8
  • Q72=8
  • Q73=8
  • Q74=8
  • Q75=8
  • Q76=8
  • Q77=8
  • Q80=8
  • Q81=8
  • Q82=6
  • Q83=6
  • Q84=6
  • Q85=6
  • Q86=6
  • Q87=6
  • Q90=8
  • Q91=8
  • Q92=8
  • Q93=8
  • Q94=8
  • Q95=8
  • Q96=8
  • Q97=8
  • RDCLK=8
  • RDEN=8
  • RESET=8
  • WRCLK=8
  • WREN=8
IOB
  • I=10
  • O=10
  • PAD=20
IOB18
  • DCITERMDISABLE=64
  • DIFFI_IN=1
  • I=65
  • IBUFDISABLE=64
  • O=96
  • PAD=98
  • PADOUT=1
  • T=72
IOB18M
  • DCITERMDISABLE=8
  • DIFFI_IN=8
  • I=8
  • IBUFDISABLE=8
  • O=9
  • PAD=9
  • PADOUT=8
  • T=8
IOB18M_INBUF_DCIEN
  • DIFFI_IN=8
  • IBUFDISABLE=8
  • OUT=8
  • PAD=8
IOB18M_OUTBUF_DCIEN
  • DCITERMDISABLE=8
  • IN=9
  • OUT=9
  • TRI=8
IOB18S
  • DIFFI_IN=8
  • I=8
  • IBUFDISABLE=8
  • O_IN=9
  • PAD=9
  • PADOUT=8
  • T_IN=8
IOB18S_INBUF_DCIEN
  • DIFFI_IN=8
  • IBUFDISABLE=8
  • OUT=8
  • PAD=8
IOB18S_OUTBUF_DCIEN
  • IN=9
  • OUT=9
  • TRI=8
IOB18_INBUF_DCIEN
  • DIFFI_IN=1
  • IBUFDISABLE=64
  • OUT=65
  • PAD=65
IOB18_OUTBUF_DCIEN
  • DCITERMDISABLE=64
  • IN=96
  • OUT=96
  • TRI=72
IOB33
  • I=1
  • O=1
  • PAD=2
IOB33_INBUF_EN
  • OUT=1
  • PAD=1
IOB33_OUTBUF
  • IN=1
  • OUT=1
IOB_INBUF_EN
  • INTERMDISABLE=10
  • OUT=10
  • PAD=10
IOB_OUTBUF
  • IN=10
  • OUT=10
IPAD
  • O=18
  • PAD=18
ISERDESE2
  • BITSLIP=64
  • CE1=64
  • CE2=64
  • CLK=64
  • CLKB=64
  • CLKDIVP=64
  • D=64
  • DDLY=64
  • DYNCLKDIVSEL=64
  • DYNCLKSEL=64
  • OCLK=64
  • Q1=64
  • Q2=64
  • Q3=64
  • Q4=64
  • RST=64
ISERDESE2_ISERDESE2
  • BITSLIP=64
  • CE1=64
  • CE2=64
  • CLK=64
  • CLKB=64
  • CLKDIVP=64
  • D=64
  • DDLY=64
  • DYNCLKDIVSEL=64
  • DYNCLKSEL=64
  • OCLK=64
  • Q1=64
  • Q2=64
  • Q3=64
  • Q4=64
  • RST=64
LUT5
  • A1=3203
  • A2=3923
  • A3=4323
  • A4=1968
  • A5=4619
  • O5=7611
LUT6
  • A1=3596
  • A2=6146
  • A3=9831
  • A4=11626
  • A5=11758
  • A6=12304
  • O6=12389
LUT_OR_MEM5
  • A1=1689
  • A2=1693
  • A3=1703
  • A4=1702
  • A5=1694
  • CLK=1680
  • DI1=1680
  • O5=1704
  • WA1=1680
  • WA2=1680
  • WA3=1680
  • WA4=1680
  • WA5=1680
  • WE=1680
LUT_OR_MEM6
  • A1=1847
  • A2=1851
  • A3=1862
  • A4=1877
  • A5=1877
  • A6=1887
  • CLK=1841
  • DI1=92
  • DI2=1749
  • O6=1426
  • WA1=1742
  • WA2=1742
  • WA3=1742
  • WA4=1742
  • WA5=1742
  • WA6=1742
  • WA7=16
  • WE=1841
MMCME2_ADV
  • CLKFBIN=2
  • CLKFBOUT=2
  • CLKIN1=2
  • CLKIN2=2
  • CLKINSEL=2
  • CLKOUT0=1
  • CLKOUT1=1
  • CLKOUT2=1
  • CLKOUT3=1
  • DADDR0=2
  • DADDR1=2
  • DADDR2=2
  • DADDR3=2
  • DADDR4=2
  • DADDR5=2
  • DADDR6=2
  • DCLK=2
  • DEN=2
  • DI0=2
  • DI1=2
  • DI10=2
  • DI11=2
  • DI12=2
  • DI13=2
  • DI14=2
  • DI15=2
  • DI2=2
  • DI3=2
  • DI4=2
  • DI5=2
  • DI6=2
  • DI7=2
  • DI8=2
  • DI9=2
  • DWE=2
  • LOCKED=2
  • PSCLK=2
  • PSEN=2
  • PSINCDEC=2
  • PWRDWN=2
  • RST=2
MMCME2_ADV_MMCME2_ADV
  • CLKFBIN=2
  • CLKFBOUT=2
  • CLKIN1=2
  • CLKIN2=2
  • CLKINSEL=2
  • CLKOUT0=1
  • CLKOUT1=1
  • CLKOUT2=1
  • CLKOUT3=1
  • DADDR0=2
  • DADDR1=2
  • DADDR2=2
  • DADDR3=2
  • DADDR4=2
  • DADDR5=2
  • DADDR6=2
  • DCLK=2
  • DEN=2
  • DI0=2
  • DI1=2
  • DI10=2
  • DI11=2
  • DI12=2
  • DI13=2
  • DI14=2
  • DI15=2
  • DI2=2
  • DI3=2
  • DI4=2
  • DI5=2
  • DI6=2
  • DI7=2
  • DI8=2
  • DI9=2
  • DWE=2
  • LOCKED=2
  • PSCLK=2
  • PSEN=2
  • PSINCDEC=2
  • PWRDWN=2
  • RST=2
NULLMUX
  • 0=17
  • OUT=17
OLOGICE2
  • CLK=9
  • D1=9
  • D2=9
  • OCE=9
  • OQ=9
  • SR=9
  • T1=8
  • T2=8
  • TCE=8
  • TQ=8
OLOGICE2_OUTFF
  • CE=9
  • CK=9
  • D1=9
  • D2=9
  • Q=9
  • SR=9
OLOGICE2_TFF
  • CE=8
  • CK=8
  • D1=8
  • D2=8
  • Q=8
  • SR=8
OPAD
  • I=16
  • PAD=16
OSERDESE2
  • CLK=103
  • CLKDIV=103
  • D1=103
  • D2=103
  • D3=103
  • D4=103
  • D5=103
  • D6=103
  • D7=103
  • D8=103
  • OCE=103
  • OQ=95
  • RST=103
  • T1=103
  • T2=103
  • T3=103
  • T4=103
  • TBYTEIN=80
  • TBYTEOUT=8
  • TCE=103
  • TQ=72
OSERDESE2_OSERDESE2
  • CLK=103
  • CLKDIV=103
  • D1=103
  • D2=103
  • D3=103
  • D4=103
  • D5=103
  • D6=103
  • D7=103
  • D8=103
  • OCE=103
  • OQ=95
  • RST=103
  • T1=103
  • T2=103
  • T3=103
  • T4=103
  • TBYTEIN=80
  • TBYTEOUT=8
  • TCE=103
  • TQ=72
OUT_FIFO
  • D00=11
  • D01=11
  • D02=11
  • D03=11
  • D04=11
  • D05=11
  • D06=11
  • D07=11
  • D10=11
  • D11=11
  • D12=11
  • D13=11
  • D14=11
  • D15=11
  • D16=11
  • D17=11
  • D20=11
  • D21=11
  • D22=11
  • D23=11
  • D24=11
  • D25=11
  • D26=11
  • D27=11
  • D30=11
  • D31=11
  • D32=11
  • D33=11
  • D34=11
  • D35=11
  • D36=11
  • D37=11
  • D40=11
  • D41=11
  • D42=11
  • D43=11
  • D44=11
  • D45=11
  • D46=11
  • D47=11
  • D50=11
  • D51=11
  • D52=11
  • D53=11
  • D54=11
  • D55=11
  • D56=11
  • D57=11
  • D60=11
  • D61=11
  • D62=11
  • D63=11
  • D64=11
  • D65=11
  • D66=11
  • D67=11
  • D70=11
  • D71=11
  • D72=11
  • D73=11
  • D74=11
  • D75=11
  • D76=11
  • D77=11
  • D80=11
  • D81=11
  • D82=11
  • D83=11
  • D84=11
  • D85=11
  • D86=11
  • D87=11
  • D90=11
  • D91=11
  • D92=11
  • D93=11
  • D94=11
  • D95=11
  • D96=11
  • D97=11
  • FULL=11
  • Q00=4
  • Q01=4
  • Q02=4
  • Q03=4
  • Q10=10
  • Q11=10
  • Q12=10
  • Q13=10
  • Q20=9
  • Q21=9
  • Q22=9
  • Q23=9
  • Q30=9
  • Q31=9
  • Q32=9
  • Q33=9
  • Q40=11
  • Q41=11
  • Q42=11
  • Q43=11
  • Q50=11
  • Q51=11
  • Q52=11
  • Q53=11
  • Q54=3
  • Q55=3
  • Q56=3
  • Q57=3
  • Q60=10
  • Q61=10
  • Q62=10
  • Q63=10
  • Q64=2
  • Q65=2
  • Q66=2
  • Q67=2
  • Q70=10
  • Q71=10
  • Q72=10
  • Q73=10
  • Q80=7
  • Q81=7
  • Q82=7
  • Q83=7
  • Q90=9
  • Q91=9
  • Q92=9
  • Q93=9
  • RDCLK=11
  • RDEN=11
  • RESET=11
  • WRCLK=11
  • WREN=11
OUT_FIFO_OUT_FIFO
  • D00=11
  • D01=11
  • D02=11
  • D03=11
  • D04=11
  • D05=11
  • D06=11
  • D07=11
  • D10=11
  • D11=11
  • D12=11
  • D13=11
  • D14=11
  • D15=11
  • D16=11
  • D17=11
  • D20=11
  • D21=11
  • D22=11
  • D23=11
  • D24=11
  • D25=11
  • D26=11
  • D27=11
  • D30=11
  • D31=11
  • D32=11
  • D33=11
  • D34=11
  • D35=11
  • D36=11
  • D37=11
  • D40=11
  • D41=11
  • D42=11
  • D43=11
  • D44=11
  • D45=11
  • D46=11
  • D47=11
  • D50=11
  • D51=11
  • D52=11
  • D53=11
  • D54=11
  • D55=11
  • D56=11
  • D57=11
  • D60=11
  • D61=11
  • D62=11
  • D63=11
  • D64=11
  • D65=11
  • D66=11
  • D67=11
  • D70=11
  • D71=11
  • D72=11
  • D73=11
  • D74=11
  • D75=11
  • D76=11
  • D77=11
  • D80=11
  • D81=11
  • D82=11
  • D83=11
  • D84=11
  • D85=11
  • D86=11
  • D87=11
  • D90=11
  • D91=11
  • D92=11
  • D93=11
  • D94=11
  • D95=11
  • D96=11
  • D97=11
  • FULL=11
  • Q00=4
  • Q01=4
  • Q02=4
  • Q03=4
  • Q10=10
  • Q11=10
  • Q12=10
  • Q13=10
  • Q20=9
  • Q21=9
  • Q22=9
  • Q23=9
  • Q30=9
  • Q31=9
  • Q32=9
  • Q33=9
  • Q40=11
  • Q41=11
  • Q42=11
  • Q43=11
  • Q50=11
  • Q51=11
  • Q52=11
  • Q53=11
  • Q54=3
  • Q55=3
  • Q56=3
  • Q57=3
  • Q60=10
  • Q61=10
  • Q62=10
  • Q63=10
  • Q64=2
  • Q65=2
  • Q66=2
  • Q67=2
  • Q70=10
  • Q71=10
  • Q72=10
  • Q73=10
  • Q80=7
  • Q81=7
  • Q82=7
  • Q83=7
  • Q90=9
  • Q91=9
  • Q92=9
  • Q93=9
  • RDCLK=11
  • RDEN=11
  • RESET=11
  • WRCLK=11
  • WREN=11
PAD
  • PAD=172
PCIE_2_1
  • CFGAERINTERRUPTMSGNUM0=1
  • CFGAERINTERRUPTMSGNUM1=1
  • CFGAERINTERRUPTMSGNUM2=1
  • CFGAERINTERRUPTMSGNUM3=1
  • CFGAERINTERRUPTMSGNUM4=1
  • CFGDEVID0=1
  • CFGDEVID1=1
  • CFGDEVID10=1
  • CFGDEVID11=1
  • CFGDEVID12=1
  • CFGDEVID13=1
  • CFGDEVID14=1
  • CFGDEVID15=1
  • CFGDEVID2=1
  • CFGDEVID3=1
  • CFGDEVID4=1
  • CFGDEVID5=1
  • CFGDEVID6=1
  • CFGDEVID7=1
  • CFGDEVID8=1
  • CFGDEVID9=1
  • CFGDSBUSNUMBER0=1
  • CFGDSBUSNUMBER1=1
  • CFGDSBUSNUMBER2=1
  • CFGDSBUSNUMBER3=1
  • CFGDSBUSNUMBER4=1
  • CFGDSBUSNUMBER5=1
  • CFGDSBUSNUMBER6=1
  • CFGDSBUSNUMBER7=1
  • CFGDSDEVICENUMBER0=1
  • CFGDSDEVICENUMBER1=1
  • CFGDSDEVICENUMBER2=1
  • CFGDSDEVICENUMBER3=1
  • CFGDSDEVICENUMBER4=1
  • CFGDSFUNCTIONNUMBER0=1
  • CFGDSFUNCTIONNUMBER1=1
  • CFGDSFUNCTIONNUMBER2=1
  • CFGDSN0=1
  • CFGDSN1=1
  • CFGDSN10=1
  • CFGDSN11=1
  • CFGDSN12=1
  • CFGDSN13=1
  • CFGDSN14=1
  • CFGDSN15=1
  • CFGDSN16=1
  • CFGDSN17=1
  • CFGDSN18=1
  • CFGDSN19=1
  • CFGDSN2=1
  • CFGDSN20=1
  • CFGDSN21=1
  • CFGDSN22=1
  • CFGDSN23=1
  • CFGDSN24=1
  • CFGDSN25=1
  • CFGDSN26=1
  • CFGDSN27=1
  • CFGDSN28=1
  • CFGDSN29=1
  • CFGDSN3=1
  • CFGDSN30=1
  • CFGDSN31=1
  • CFGDSN32=1
  • CFGDSN33=1
  • CFGDSN34=1
  • CFGDSN35=1
  • CFGDSN36=1
  • CFGDSN37=1
  • CFGDSN38=1
  • CFGDSN39=1
  • CFGDSN4=1
  • CFGDSN40=1
  • CFGDSN41=1
  • CFGDSN42=1
  • CFGDSN43=1
  • CFGDSN44=1
  • CFGDSN45=1
  • CFGDSN46=1
  • CFGDSN47=1
  • CFGDSN48=1
  • CFGDSN49=1
  • CFGDSN5=1
  • CFGDSN50=1
  • CFGDSN51=1
  • CFGDSN52=1
  • CFGDSN53=1
  • CFGDSN54=1
  • CFGDSN55=1
  • CFGDSN56=1
  • CFGDSN57=1
  • CFGDSN58=1
  • CFGDSN59=1
  • CFGDSN6=1
  • CFGDSN60=1
  • CFGDSN61=1
  • CFGDSN62=1
  • CFGDSN63=1
  • CFGDSN7=1
  • CFGDSN8=1
  • CFGDSN9=1
  • CFGERRACSN=1
  • CFGERRAERHEADERLOG0=1
  • CFGERRAERHEADERLOG1=1
  • CFGERRAERHEADERLOG10=1
  • CFGERRAERHEADERLOG100=1
  • CFGERRAERHEADERLOG101=1
  • CFGERRAERHEADERLOG102=1
  • CFGERRAERHEADERLOG103=1
  • CFGERRAERHEADERLOG104=1
  • CFGERRAERHEADERLOG105=1
  • CFGERRAERHEADERLOG106=1
  • CFGERRAERHEADERLOG107=1
  • CFGERRAERHEADERLOG108=1
  • CFGERRAERHEADERLOG109=1
  • CFGERRAERHEADERLOG11=1
  • CFGERRAERHEADERLOG110=1
  • CFGERRAERHEADERLOG111=1
  • CFGERRAERHEADERLOG112=1
  • CFGERRAERHEADERLOG113=1
  • CFGERRAERHEADERLOG114=1
  • CFGERRAERHEADERLOG115=1
  • CFGERRAERHEADERLOG116=1
  • CFGERRAERHEADERLOG117=1
  • CFGERRAERHEADERLOG118=1
  • CFGERRAERHEADERLOG119=1
  • CFGERRAERHEADERLOG12=1
  • CFGERRAERHEADERLOG120=1
  • CFGERRAERHEADERLOG121=1
  • CFGERRAERHEADERLOG122=1
  • CFGERRAERHEADERLOG123=1
  • CFGERRAERHEADERLOG124=1
  • CFGERRAERHEADERLOG125=1
  • CFGERRAERHEADERLOG126=1
  • CFGERRAERHEADERLOG127=1
  • CFGERRAERHEADERLOG13=1
  • CFGERRAERHEADERLOG14=1
  • CFGERRAERHEADERLOG15=1
  • CFGERRAERHEADERLOG16=1
  • CFGERRAERHEADERLOG17=1
  • CFGERRAERHEADERLOG18=1
  • CFGERRAERHEADERLOG19=1
  • CFGERRAERHEADERLOG2=1
  • CFGERRAERHEADERLOG20=1
  • CFGERRAERHEADERLOG21=1
  • CFGERRAERHEADERLOG22=1
  • CFGERRAERHEADERLOG23=1
  • CFGERRAERHEADERLOG24=1
  • CFGERRAERHEADERLOG25=1
  • CFGERRAERHEADERLOG26=1
  • CFGERRAERHEADERLOG27=1
  • CFGERRAERHEADERLOG28=1
  • CFGERRAERHEADERLOG29=1
  • CFGERRAERHEADERLOG3=1
  • CFGERRAERHEADERLOG30=1
  • CFGERRAERHEADERLOG31=1
  • CFGERRAERHEADERLOG32=1
  • CFGERRAERHEADERLOG33=1
  • CFGERRAERHEADERLOG34=1
  • CFGERRAERHEADERLOG35=1
  • CFGERRAERHEADERLOG36=1
  • CFGERRAERHEADERLOG37=1
  • CFGERRAERHEADERLOG38=1
  • CFGERRAERHEADERLOG39=1
  • CFGERRAERHEADERLOG4=1
  • CFGERRAERHEADERLOG40=1
  • CFGERRAERHEADERLOG41=1
  • CFGERRAERHEADERLOG42=1
  • CFGERRAERHEADERLOG43=1
  • CFGERRAERHEADERLOG44=1
  • CFGERRAERHEADERLOG45=1
  • CFGERRAERHEADERLOG46=1
  • CFGERRAERHEADERLOG47=1
  • CFGERRAERHEADERLOG48=1
  • CFGERRAERHEADERLOG49=1
  • CFGERRAERHEADERLOG5=1
  • CFGERRAERHEADERLOG50=1
  • CFGERRAERHEADERLOG51=1
  • CFGERRAERHEADERLOG52=1
  • CFGERRAERHEADERLOG53=1
  • CFGERRAERHEADERLOG54=1
  • CFGERRAERHEADERLOG55=1
  • CFGERRAERHEADERLOG56=1
  • CFGERRAERHEADERLOG57=1
  • CFGERRAERHEADERLOG58=1
  • CFGERRAERHEADERLOG59=1
  • CFGERRAERHEADERLOG6=1
  • CFGERRAERHEADERLOG60=1
  • CFGERRAERHEADERLOG61=1
  • CFGERRAERHEADERLOG62=1
  • CFGERRAERHEADERLOG63=1
  • CFGERRAERHEADERLOG64=1
  • CFGERRAERHEADERLOG65=1
  • CFGERRAERHEADERLOG66=1
  • CFGERRAERHEADERLOG67=1
  • CFGERRAERHEADERLOG68=1
  • CFGERRAERHEADERLOG69=1
  • CFGERRAERHEADERLOG7=1
  • CFGERRAERHEADERLOG70=1
  • CFGERRAERHEADERLOG71=1
  • CFGERRAERHEADERLOG72=1
  • CFGERRAERHEADERLOG73=1
  • CFGERRAERHEADERLOG74=1
  • CFGERRAERHEADERLOG75=1
  • CFGERRAERHEADERLOG76=1
  • CFGERRAERHEADERLOG77=1
  • CFGERRAERHEADERLOG78=1
  • CFGERRAERHEADERLOG79=1
  • CFGERRAERHEADERLOG8=1
  • CFGERRAERHEADERLOG80=1
  • CFGERRAERHEADERLOG81=1
  • CFGERRAERHEADERLOG82=1
  • CFGERRAERHEADERLOG83=1
  • CFGERRAERHEADERLOG84=1
  • CFGERRAERHEADERLOG85=1
  • CFGERRAERHEADERLOG86=1
  • CFGERRAERHEADERLOG87=1
  • CFGERRAERHEADERLOG88=1
  • CFGERRAERHEADERLOG89=1
  • CFGERRAERHEADERLOG9=1
  • CFGERRAERHEADERLOG90=1
  • CFGERRAERHEADERLOG91=1
  • CFGERRAERHEADERLOG92=1
  • CFGERRAERHEADERLOG93=1
  • CFGERRAERHEADERLOG94=1
  • CFGERRAERHEADERLOG95=1
  • CFGERRAERHEADERLOG96=1
  • CFGERRAERHEADERLOG97=1
  • CFGERRAERHEADERLOG98=1
  • CFGERRAERHEADERLOG99=1
  • CFGERRATOMICEGRESSBLOCKEDN=1
  • CFGERRCORN=1
  • CFGERRCPLABORTN=1
  • CFGERRCPLTIMEOUTN=1
  • CFGERRCPLUNEXPECTN=1
  • CFGERRECRCN=1
  • CFGERRINTERNALCORN=1
  • CFGERRINTERNALUNCORN=1
  • CFGERRLOCKEDN=1
  • CFGERRMALFORMEDN=1
  • CFGERRMCBLOCKEDN=1
  • CFGERRNORECOVERYN=1
  • CFGERRPOISONEDN=1
  • CFGERRPOSTEDN=1
  • CFGERRTLPCPLHEADER0=1
  • CFGERRTLPCPLHEADER1=1
  • CFGERRTLPCPLHEADER10=1
  • CFGERRTLPCPLHEADER11=1
  • CFGERRTLPCPLHEADER12=1
  • CFGERRTLPCPLHEADER13=1
  • CFGERRTLPCPLHEADER14=1
  • CFGERRTLPCPLHEADER15=1
  • CFGERRTLPCPLHEADER16=1
  • CFGERRTLPCPLHEADER17=1
  • CFGERRTLPCPLHEADER18=1
  • CFGERRTLPCPLHEADER19=1
  • CFGERRTLPCPLHEADER2=1
  • CFGERRTLPCPLHEADER20=1
  • CFGERRTLPCPLHEADER21=1
  • CFGERRTLPCPLHEADER22=1
  • CFGERRTLPCPLHEADER23=1
  • CFGERRTLPCPLHEADER24=1
  • CFGERRTLPCPLHEADER25=1
  • CFGERRTLPCPLHEADER26=1
  • CFGERRTLPCPLHEADER27=1
  • CFGERRTLPCPLHEADER28=1
  • CFGERRTLPCPLHEADER29=1
  • CFGERRTLPCPLHEADER3=1
  • CFGERRTLPCPLHEADER30=1
  • CFGERRTLPCPLHEADER31=1
  • CFGERRTLPCPLHEADER32=1
  • CFGERRTLPCPLHEADER33=1
  • CFGERRTLPCPLHEADER34=1
  • CFGERRTLPCPLHEADER35=1
  • CFGERRTLPCPLHEADER36=1
  • CFGERRTLPCPLHEADER37=1
  • CFGERRTLPCPLHEADER38=1
  • CFGERRTLPCPLHEADER39=1
  • CFGERRTLPCPLHEADER4=1
  • CFGERRTLPCPLHEADER40=1
  • CFGERRTLPCPLHEADER41=1
  • CFGERRTLPCPLHEADER42=1
  • CFGERRTLPCPLHEADER43=1
  • CFGERRTLPCPLHEADER44=1
  • CFGERRTLPCPLHEADER45=1
  • CFGERRTLPCPLHEADER46=1
  • CFGERRTLPCPLHEADER47=1
  • CFGERRTLPCPLHEADER5=1
  • CFGERRTLPCPLHEADER6=1
  • CFGERRTLPCPLHEADER7=1
  • CFGERRTLPCPLHEADER8=1
  • CFGERRTLPCPLHEADER9=1
  • CFGERRURN=1
  • CFGFORCECOMMONCLOCKOFF=1
  • CFGFORCEEXTENDEDSYNCON=1
  • CFGFORCEMPS0=1
  • CFGFORCEMPS1=1
  • CFGFORCEMPS2=1
  • CFGINTERRUPTASSERTN=1
  • CFGINTERRUPTDI0=1
  • CFGINTERRUPTDI1=1
  • CFGINTERRUPTDI2=1
  • CFGINTERRUPTDI3=1
  • CFGINTERRUPTDI4=1
  • CFGINTERRUPTDI5=1
  • CFGINTERRUPTDI6=1
  • CFGINTERRUPTDI7=1
  • CFGINTERRUPTN=1
  • CFGINTERRUPTRDYN=1
  • CFGINTERRUPTSTATN=1
  • CFGMGMTBYTEENN0=1
  • CFGMGMTBYTEENN1=1
  • CFGMGMTBYTEENN2=1
  • CFGMGMTBYTEENN3=1
  • CFGMGMTDI0=1
  • CFGMGMTDI1=1
  • CFGMGMTDI10=1
  • CFGMGMTDI11=1
  • CFGMGMTDI12=1
  • CFGMGMTDI13=1
  • CFGMGMTDI14=1
  • CFGMGMTDI15=1
  • CFGMGMTDI16=1
  • CFGMGMTDI17=1
  • CFGMGMTDI18=1
  • CFGMGMTDI19=1
  • CFGMGMTDI2=1
  • CFGMGMTDI20=1
  • CFGMGMTDI21=1
  • CFGMGMTDI22=1
  • CFGMGMTDI23=1
  • CFGMGMTDI24=1
  • CFGMGMTDI25=1
  • CFGMGMTDI26=1
  • CFGMGMTDI27=1
  • CFGMGMTDI28=1
  • CFGMGMTDI29=1
  • CFGMGMTDI3=1
  • CFGMGMTDI30=1
  • CFGMGMTDI31=1
  • CFGMGMTDI4=1
  • CFGMGMTDI5=1
  • CFGMGMTDI6=1
  • CFGMGMTDI7=1
  • CFGMGMTDI8=1
  • CFGMGMTDI9=1
  • CFGMGMTDWADDR0=1
  • CFGMGMTDWADDR1=1
  • CFGMGMTDWADDR2=1
  • CFGMGMTDWADDR3=1
  • CFGMGMTDWADDR4=1
  • CFGMGMTDWADDR5=1
  • CFGMGMTDWADDR6=1
  • CFGMGMTDWADDR7=1
  • CFGMGMTDWADDR8=1
  • CFGMGMTDWADDR9=1
  • CFGMGMTRDENN=1
  • CFGMGMTWRENN=1
  • CFGMGMTWRREADONLYN=1
  • CFGMGMTWRRW1CASRWN=1
  • CFGMSGDATA0=1
  • CFGMSGDATA1=1
  • CFGMSGDATA10=1
  • CFGMSGDATA11=1
  • CFGMSGDATA12=1
  • CFGMSGDATA13=1
  • CFGMSGDATA14=1
  • CFGMSGDATA15=1
  • CFGMSGDATA2=1
  • CFGMSGDATA3=1
  • CFGMSGDATA4=1
  • CFGMSGDATA5=1
  • CFGMSGDATA6=1
  • CFGMSGDATA7=1
  • CFGMSGDATA8=1
  • CFGMSGDATA9=1
  • CFGMSGRECEIVED=1
  • CFGPCIECAPINTERRUPTMSGNUM0=1
  • CFGPCIECAPINTERRUPTMSGNUM1=1
  • CFGPCIECAPINTERRUPTMSGNUM2=1
  • CFGPCIECAPINTERRUPTMSGNUM3=1
  • CFGPCIECAPINTERRUPTMSGNUM4=1
  • CFGPCIELINKSTATE0=1
  • CFGPCIELINKSTATE1=1
  • CFGPCIELINKSTATE2=1
  • CFGPMFORCESTATE0=1
  • CFGPMFORCESTATE1=1
  • CFGPMFORCESTATEENN=1
  • CFGPMHALTASPML0SN=1
  • CFGPMHALTASPML1N=1
  • CFGPMSENDPMETON=1
  • CFGPMTURNOFFOKN=1
  • CFGPMWAKEN=1
  • CFGPORTNUMBER0=1
  • CFGPORTNUMBER1=1
  • CFGPORTNUMBER2=1
  • CFGPORTNUMBER3=1
  • CFGPORTNUMBER4=1
  • CFGPORTNUMBER5=1
  • CFGPORTNUMBER6=1
  • CFGPORTNUMBER7=1
  • CFGREVID0=1
  • CFGREVID1=1
  • CFGREVID2=1
  • CFGREVID3=1
  • CFGREVID4=1
  • CFGREVID5=1
  • CFGREVID6=1
  • CFGREVID7=1
  • CFGSUBSYSID0=1
  • CFGSUBSYSID1=1
  • CFGSUBSYSID10=1
  • CFGSUBSYSID11=1
  • CFGSUBSYSID12=1
  • CFGSUBSYSID13=1
  • CFGSUBSYSID14=1
  • CFGSUBSYSID15=1
  • CFGSUBSYSID2=1
  • CFGSUBSYSID3=1
  • CFGSUBSYSID4=1
  • CFGSUBSYSID5=1
  • CFGSUBSYSID6=1
  • CFGSUBSYSID7=1
  • CFGSUBSYSID8=1
  • CFGSUBSYSID9=1
  • CFGSUBSYSVENDID0=1
  • CFGSUBSYSVENDID1=1
  • CFGSUBSYSVENDID10=1
  • CFGSUBSYSVENDID11=1
  • CFGSUBSYSVENDID12=1
  • CFGSUBSYSVENDID13=1
  • CFGSUBSYSVENDID14=1
  • CFGSUBSYSVENDID15=1
  • CFGSUBSYSVENDID2=1
  • CFGSUBSYSVENDID3=1
  • CFGSUBSYSVENDID4=1
  • CFGSUBSYSVENDID5=1
  • CFGSUBSYSVENDID6=1
  • CFGSUBSYSVENDID7=1
  • CFGSUBSYSVENDID8=1
  • CFGSUBSYSVENDID9=1
  • CFGTRNPENDINGN=1
  • CFGVENDID0=1
  • CFGVENDID1=1
  • CFGVENDID10=1
  • CFGVENDID11=1
  • CFGVENDID12=1
  • CFGVENDID13=1
  • CFGVENDID14=1
  • CFGVENDID15=1
  • CFGVENDID2=1
  • CFGVENDID3=1
  • CFGVENDID4=1
  • CFGVENDID5=1
  • CFGVENDID6=1
  • CFGVENDID7=1
  • CFGVENDID8=1
  • CFGVENDID9=1
  • CMRSTN=1
  • CMSTICKYRSTN=1
  • DBGMODE0=1
  • DBGMODE1=1
  • DBGSUBMODE=1
  • DLRSTN=1
  • DRPADDR0=1
  • DRPADDR1=1
  • DRPADDR2=1
  • DRPADDR3=1
  • DRPADDR4=1
  • DRPADDR5=1
  • DRPADDR6=1
  • DRPADDR7=1
  • DRPADDR8=1
  • DRPCLK=1
  • DRPDI0=1
  • DRPDI1=1
  • DRPDI10=1
  • DRPDI11=1
  • DRPDI12=1
  • DRPDI13=1
  • DRPDI14=1
  • DRPDI15=1
  • DRPDI2=1
  • DRPDI3=1
  • DRPDI4=1
  • DRPDI5=1
  • DRPDI6=1
  • DRPDI7=1
  • DRPDI8=1
  • DRPDI9=1
  • DRPEN=1
  • DRPWE=1
  • FUNCLVLRSTN=1
  • LL2SENDASREQL1=1
  • LL2SENDENTERL1=1
  • LL2SENDENTERL23=1
  • LL2SENDPMACK=1
  • LL2SUSPENDNOW=1
  • LL2TLPRCV=1
  • MIMRXRADDR0=1
  • MIMRXRADDR1=1
  • MIMRXRADDR2=1
  • MIMRXRADDR3=1
  • MIMRXRADDR4=1
  • MIMRXRADDR5=1
  • MIMRXRADDR6=1
  • MIMRXRADDR7=1
  • MIMRXRADDR8=1
  • MIMRXRADDR9=1
  • MIMRXRDATA0=1
  • MIMRXRDATA1=1
  • MIMRXRDATA10=1
  • MIMRXRDATA11=1
  • MIMRXRDATA12=1
  • MIMRXRDATA13=1
  • MIMRXRDATA14=1
  • MIMRXRDATA15=1
  • MIMRXRDATA16=1
  • MIMRXRDATA17=1
  • MIMRXRDATA18=1
  • MIMRXRDATA19=1
  • MIMRXRDATA2=1
  • MIMRXRDATA20=1
  • MIMRXRDATA21=1
  • MIMRXRDATA22=1
  • MIMRXRDATA23=1
  • MIMRXRDATA24=1
  • MIMRXRDATA25=1
  • MIMRXRDATA26=1
  • MIMRXRDATA27=1
  • MIMRXRDATA28=1
  • MIMRXRDATA29=1
  • MIMRXRDATA3=1
  • MIMRXRDATA30=1
  • MIMRXRDATA31=1
  • MIMRXRDATA32=1
  • MIMRXRDATA33=1
  • MIMRXRDATA34=1
  • MIMRXRDATA35=1
  • MIMRXRDATA36=1
  • MIMRXRDATA37=1
  • MIMRXRDATA38=1
  • MIMRXRDATA39=1
  • MIMRXRDATA4=1
  • MIMRXRDATA40=1
  • MIMRXRDATA41=1
  • MIMRXRDATA42=1
  • MIMRXRDATA43=1
  • MIMRXRDATA44=1
  • MIMRXRDATA45=1
  • MIMRXRDATA46=1
  • MIMRXRDATA47=1
  • MIMRXRDATA48=1
  • MIMRXRDATA49=1
  • MIMRXRDATA5=1
  • MIMRXRDATA50=1
  • MIMRXRDATA51=1
  • MIMRXRDATA52=1
  • MIMRXRDATA53=1
  • MIMRXRDATA54=1
  • MIMRXRDATA55=1
  • MIMRXRDATA56=1
  • MIMRXRDATA57=1
  • MIMRXRDATA58=1
  • MIMRXRDATA59=1
  • MIMRXRDATA6=1
  • MIMRXRDATA60=1
  • MIMRXRDATA61=1
  • MIMRXRDATA62=1
  • MIMRXRDATA63=1
  • MIMRXRDATA64=1
  • MIMRXRDATA65=1
  • MIMRXRDATA66=1
  • MIMRXRDATA67=1
  • MIMRXRDATA7=1
  • MIMRXRDATA8=1
  • MIMRXRDATA9=1
  • MIMRXREN=1
  • MIMRXWADDR0=1
  • MIMRXWADDR1=1
  • MIMRXWADDR2=1
  • MIMRXWADDR3=1
  • MIMRXWADDR4=1
  • MIMRXWADDR5=1
  • MIMRXWADDR6=1
  • MIMRXWADDR7=1
  • MIMRXWADDR8=1
  • MIMRXWADDR9=1
  • MIMRXWDATA0=1
  • MIMRXWDATA1=1
  • MIMRXWDATA10=1
  • MIMRXWDATA11=1
  • MIMRXWDATA12=1
  • MIMRXWDATA13=1
  • MIMRXWDATA14=1
  • MIMRXWDATA15=1
  • MIMRXWDATA16=1
  • MIMRXWDATA17=1
  • MIMRXWDATA18=1
  • MIMRXWDATA19=1
  • MIMRXWDATA2=1
  • MIMRXWDATA20=1
  • MIMRXWDATA21=1
  • MIMRXWDATA22=1
  • MIMRXWDATA23=1
  • MIMRXWDATA24=1
  • MIMRXWDATA25=1
  • MIMRXWDATA26=1
  • MIMRXWDATA27=1
  • MIMRXWDATA28=1
  • MIMRXWDATA29=1
  • MIMRXWDATA3=1
  • MIMRXWDATA30=1
  • MIMRXWDATA31=1
  • MIMRXWDATA32=1
  • MIMRXWDATA33=1
  • MIMRXWDATA34=1
  • MIMRXWDATA35=1
  • MIMRXWDATA36=1
  • MIMRXWDATA37=1
  • MIMRXWDATA38=1
  • MIMRXWDATA39=1
  • MIMRXWDATA4=1
  • MIMRXWDATA40=1
  • MIMRXWDATA41=1
  • MIMRXWDATA42=1
  • MIMRXWDATA43=1
  • MIMRXWDATA44=1
  • MIMRXWDATA45=1
  • MIMRXWDATA46=1
  • MIMRXWDATA47=1
  • MIMRXWDATA48=1
  • MIMRXWDATA49=1
  • MIMRXWDATA5=1
  • MIMRXWDATA50=1
  • MIMRXWDATA51=1
  • MIMRXWDATA52=1
  • MIMRXWDATA53=1
  • MIMRXWDATA54=1
  • MIMRXWDATA55=1
  • MIMRXWDATA56=1
  • MIMRXWDATA57=1
  • MIMRXWDATA58=1
  • MIMRXWDATA59=1
  • MIMRXWDATA6=1
  • MIMRXWDATA60=1
  • MIMRXWDATA61=1
  • MIMRXWDATA62=1
  • MIMRXWDATA63=1
  • MIMRXWDATA64=1
  • MIMRXWDATA65=1
  • MIMRXWDATA66=1
  • MIMRXWDATA67=1
  • MIMRXWDATA7=1
  • MIMRXWDATA8=1
  • MIMRXWDATA9=1
  • MIMRXWEN=1
  • MIMTXRADDR0=1
  • MIMTXRADDR1=1
  • MIMTXRADDR2=1
  • MIMTXRADDR3=1
  • MIMTXRADDR4=1
  • MIMTXRADDR5=1
  • MIMTXRADDR6=1
  • MIMTXRADDR7=1
  • MIMTXRADDR8=1
  • MIMTXRADDR9=1
  • MIMTXRDATA0=1
  • MIMTXRDATA1=1
  • MIMTXRDATA10=1
  • MIMTXRDATA11=1
  • MIMTXRDATA12=1
  • MIMTXRDATA13=1
  • MIMTXRDATA14=1
  • MIMTXRDATA15=1
  • MIMTXRDATA16=1
  • MIMTXRDATA17=1
  • MIMTXRDATA18=1
  • MIMTXRDATA19=1
  • MIMTXRDATA2=1
  • MIMTXRDATA20=1
  • MIMTXRDATA21=1
  • MIMTXRDATA22=1
  • MIMTXRDATA23=1
  • MIMTXRDATA24=1
  • MIMTXRDATA25=1
  • MIMTXRDATA26=1
  • MIMTXRDATA27=1
  • MIMTXRDATA28=1
  • MIMTXRDATA29=1
  • MIMTXRDATA3=1
  • MIMTXRDATA30=1
  • MIMTXRDATA31=1
  • MIMTXRDATA32=1
  • MIMTXRDATA33=1
  • MIMTXRDATA34=1
  • MIMTXRDATA35=1
  • MIMTXRDATA36=1
  • MIMTXRDATA37=1
  • MIMTXRDATA38=1
  • MIMTXRDATA39=1
  • MIMTXRDATA4=1
  • MIMTXRDATA40=1
  • MIMTXRDATA41=1
  • MIMTXRDATA42=1
  • MIMTXRDATA43=1
  • MIMTXRDATA44=1
  • MIMTXRDATA45=1
  • MIMTXRDATA46=1
  • MIMTXRDATA47=1
  • MIMTXRDATA48=1
  • MIMTXRDATA49=1
  • MIMTXRDATA5=1
  • MIMTXRDATA50=1
  • MIMTXRDATA51=1
  • MIMTXRDATA52=1
  • MIMTXRDATA53=1
  • MIMTXRDATA54=1
  • MIMTXRDATA55=1
  • MIMTXRDATA56=1
  • MIMTXRDATA57=1
  • MIMTXRDATA58=1
  • MIMTXRDATA59=1
  • MIMTXRDATA6=1
  • MIMTXRDATA60=1
  • MIMTXRDATA61=1
  • MIMTXRDATA62=1
  • MIMTXRDATA63=1
  • MIMTXRDATA64=1
  • MIMTXRDATA65=1
  • MIMTXRDATA66=1
  • MIMTXRDATA67=1
  • MIMTXRDATA68=1
  • MIMTXRDATA7=1
  • MIMTXRDATA8=1
  • MIMTXRDATA9=1
  • MIMTXREN=1
  • MIMTXWADDR0=1
  • MIMTXWADDR1=1
  • MIMTXWADDR2=1
  • MIMTXWADDR3=1
  • MIMTXWADDR4=1
  • MIMTXWADDR5=1
  • MIMTXWADDR6=1
  • MIMTXWADDR7=1
  • MIMTXWADDR8=1
  • MIMTXWADDR9=1
  • MIMTXWDATA0=1
  • MIMTXWDATA1=1
  • MIMTXWDATA10=1
  • MIMTXWDATA11=1
  • MIMTXWDATA12=1
  • MIMTXWDATA13=1
  • MIMTXWDATA14=1
  • MIMTXWDATA15=1
  • MIMTXWDATA16=1
  • MIMTXWDATA17=1
  • MIMTXWDATA18=1
  • MIMTXWDATA19=1
  • MIMTXWDATA2=1
  • MIMTXWDATA20=1
  • MIMTXWDATA21=1
  • MIMTXWDATA22=1
  • MIMTXWDATA23=1
  • MIMTXWDATA24=1
  • MIMTXWDATA25=1
  • MIMTXWDATA26=1
  • MIMTXWDATA27=1
  • MIMTXWDATA28=1
  • MIMTXWDATA29=1
  • MIMTXWDATA3=1
  • MIMTXWDATA30=1
  • MIMTXWDATA31=1
  • MIMTXWDATA32=1
  • MIMTXWDATA33=1
  • MIMTXWDATA34=1
  • MIMTXWDATA35=1
  • MIMTXWDATA36=1
  • MIMTXWDATA37=1
  • MIMTXWDATA38=1
  • MIMTXWDATA39=1
  • MIMTXWDATA4=1
  • MIMTXWDATA40=1
  • MIMTXWDATA41=1
  • MIMTXWDATA42=1
  • MIMTXWDATA43=1
  • MIMTXWDATA44=1
  • MIMTXWDATA45=1
  • MIMTXWDATA46=1
  • MIMTXWDATA47=1
  • MIMTXWDATA48=1
  • MIMTXWDATA49=1
  • MIMTXWDATA5=1
  • MIMTXWDATA50=1
  • MIMTXWDATA51=1
  • MIMTXWDATA52=1
  • MIMTXWDATA53=1
  • MIMTXWDATA54=1
  • MIMTXWDATA55=1
  • MIMTXWDATA56=1
  • MIMTXWDATA57=1
  • MIMTXWDATA58=1
  • MIMTXWDATA59=1
  • MIMTXWDATA6=1
  • MIMTXWDATA60=1
  • MIMTXWDATA61=1
  • MIMTXWDATA62=1
  • MIMTXWDATA63=1
  • MIMTXWDATA64=1
  • MIMTXWDATA65=1
  • MIMTXWDATA66=1
  • MIMTXWDATA67=1
  • MIMTXWDATA68=1
  • MIMTXWDATA7=1
  • MIMTXWDATA8=1
  • MIMTXWDATA9=1
  • MIMTXWEN=1
  • PIPECLK=1
  • PIPERX0CHANISALIGNED=1
  • PIPERX0CHARISK0=1
  • PIPERX0CHARISK1=1
  • PIPERX0DATA0=1
  • PIPERX0DATA1=1
  • PIPERX0DATA10=1
  • PIPERX0DATA11=1
  • PIPERX0DATA12=1
  • PIPERX0DATA13=1
  • PIPERX0DATA14=1
  • PIPERX0DATA15=1
  • PIPERX0DATA2=1
  • PIPERX0DATA3=1
  • PIPERX0DATA4=1
  • PIPERX0DATA5=1
  • PIPERX0DATA6=1
  • PIPERX0DATA7=1
  • PIPERX0DATA8=1
  • PIPERX0DATA9=1
  • PIPERX0ELECIDLE=1
  • PIPERX0PHYSTATUS=1
  • PIPERX0POLARITY=1
  • PIPERX0STATUS0=1
  • PIPERX0STATUS1=1
  • PIPERX0STATUS2=1
  • PIPERX0VALID=1
  • PIPERX1CHANISALIGNED=1
  • PIPERX1CHARISK0=1
  • PIPERX1CHARISK1=1
  • PIPERX1DATA0=1
  • PIPERX1DATA1=1
  • PIPERX1DATA10=1
  • PIPERX1DATA11=1
  • PIPERX1DATA12=1
  • PIPERX1DATA13=1
  • PIPERX1DATA14=1
  • PIPERX1DATA15=1
  • PIPERX1DATA2=1
  • PIPERX1DATA3=1
  • PIPERX1DATA4=1
  • PIPERX1DATA5=1
  • PIPERX1DATA6=1
  • PIPERX1DATA7=1
  • PIPERX1DATA8=1
  • PIPERX1DATA9=1
  • PIPERX1ELECIDLE=1
  • PIPERX1PHYSTATUS=1
  • PIPERX1POLARITY=1
  • PIPERX1STATUS0=1
  • PIPERX1STATUS1=1
  • PIPERX1STATUS2=1
  • PIPERX1VALID=1
  • PIPERX2CHANISALIGNED=1
  • PIPERX2CHARISK0=1
  • PIPERX2CHARISK1=1
  • PIPERX2DATA0=1
  • PIPERX2DATA1=1
  • PIPERX2DATA10=1
  • PIPERX2DATA11=1
  • PIPERX2DATA12=1
  • PIPERX2DATA13=1
  • PIPERX2DATA14=1
  • PIPERX2DATA15=1
  • PIPERX2DATA2=1
  • PIPERX2DATA3=1
  • PIPERX2DATA4=1
  • PIPERX2DATA5=1
  • PIPERX2DATA6=1
  • PIPERX2DATA7=1
  • PIPERX2DATA8=1
  • PIPERX2DATA9=1
  • PIPERX2ELECIDLE=1
  • PIPERX2PHYSTATUS=1
  • PIPERX2POLARITY=1
  • PIPERX2STATUS0=1
  • PIPERX2STATUS1=1
  • PIPERX2STATUS2=1
  • PIPERX2VALID=1
  • PIPERX3CHANISALIGNED=1
  • PIPERX3CHARISK0=1
  • PIPERX3CHARISK1=1
  • PIPERX3DATA0=1
  • PIPERX3DATA1=1
  • PIPERX3DATA10=1
  • PIPERX3DATA11=1
  • PIPERX3DATA12=1
  • PIPERX3DATA13=1
  • PIPERX3DATA14=1
  • PIPERX3DATA15=1
  • PIPERX3DATA2=1
  • PIPERX3DATA3=1
  • PIPERX3DATA4=1
  • PIPERX3DATA5=1
  • PIPERX3DATA6=1
  • PIPERX3DATA7=1
  • PIPERX3DATA8=1
  • PIPERX3DATA9=1
  • PIPERX3ELECIDLE=1
  • PIPERX3PHYSTATUS=1
  • PIPERX3POLARITY=1
  • PIPERX3STATUS0=1
  • PIPERX3STATUS1=1
  • PIPERX3STATUS2=1
  • PIPERX3VALID=1
  • PIPERX4CHANISALIGNED=1
  • PIPERX4CHARISK0=1
  • PIPERX4CHARISK1=1
  • PIPERX4DATA0=1
  • PIPERX4DATA1=1
  • PIPERX4DATA10=1
  • PIPERX4DATA11=1
  • PIPERX4DATA12=1
  • PIPERX4DATA13=1
  • PIPERX4DATA14=1
  • PIPERX4DATA15=1
  • PIPERX4DATA2=1
  • PIPERX4DATA3=1
  • PIPERX4DATA4=1
  • PIPERX4DATA5=1
  • PIPERX4DATA6=1
  • PIPERX4DATA7=1
  • PIPERX4DATA8=1
  • PIPERX4DATA9=1
  • PIPERX4ELECIDLE=1
  • PIPERX4PHYSTATUS=1
  • PIPERX4POLARITY=1
  • PIPERX4STATUS0=1
  • PIPERX4STATUS1=1
  • PIPERX4STATUS2=1
  • PIPERX4VALID=1
  • PIPERX5CHANISALIGNED=1
  • PIPERX5CHARISK0=1
  • PIPERX5CHARISK1=1
  • PIPERX5DATA0=1
  • PIPERX5DATA1=1
  • PIPERX5DATA10=1
  • PIPERX5DATA11=1
  • PIPERX5DATA12=1
  • PIPERX5DATA13=1
  • PIPERX5DATA14=1
  • PIPERX5DATA15=1
  • PIPERX5DATA2=1
  • PIPERX5DATA3=1
  • PIPERX5DATA4=1
  • PIPERX5DATA5=1
  • PIPERX5DATA6=1
  • PIPERX5DATA7=1
  • PIPERX5DATA8=1
  • PIPERX5DATA9=1
  • PIPERX5ELECIDLE=1
  • PIPERX5PHYSTATUS=1
  • PIPERX5POLARITY=1
  • PIPERX5STATUS0=1
  • PIPERX5STATUS1=1
  • PIPERX5STATUS2=1
  • PIPERX5VALID=1
  • PIPERX6CHANISALIGNED=1
  • PIPERX6CHARISK0=1
  • PIPERX6CHARISK1=1
  • PIPERX6DATA0=1
  • PIPERX6DATA1=1
  • PIPERX6DATA10=1
  • PIPERX6DATA11=1
  • PIPERX6DATA12=1
  • PIPERX6DATA13=1
  • PIPERX6DATA14=1
  • PIPERX6DATA15=1
  • PIPERX6DATA2=1
  • PIPERX6DATA3=1
  • PIPERX6DATA4=1
  • PIPERX6DATA5=1
  • PIPERX6DATA6=1
  • PIPERX6DATA7=1
  • PIPERX6DATA8=1
  • PIPERX6DATA9=1
  • PIPERX6ELECIDLE=1
  • PIPERX6PHYSTATUS=1
  • PIPERX6POLARITY=1
  • PIPERX6STATUS0=1
  • PIPERX6STATUS1=1
  • PIPERX6STATUS2=1
  • PIPERX6VALID=1
  • PIPERX7CHANISALIGNED=1
  • PIPERX7CHARISK0=1
  • PIPERX7CHARISK1=1
  • PIPERX7DATA0=1
  • PIPERX7DATA1=1
  • PIPERX7DATA10=1
  • PIPERX7DATA11=1
  • PIPERX7DATA12=1
  • PIPERX7DATA13=1
  • PIPERX7DATA14=1
  • PIPERX7DATA15=1
  • PIPERX7DATA2=1
  • PIPERX7DATA3=1
  • PIPERX7DATA4=1
  • PIPERX7DATA5=1
  • PIPERX7DATA6=1
  • PIPERX7DATA7=1
  • PIPERX7DATA8=1
  • PIPERX7DATA9=1
  • PIPERX7ELECIDLE=1
  • PIPERX7PHYSTATUS=1
  • PIPERX7POLARITY=1
  • PIPERX7STATUS0=1
  • PIPERX7STATUS1=1
  • PIPERX7STATUS2=1
  • PIPERX7VALID=1
  • PIPETX0CHARISK0=1
  • PIPETX0CHARISK1=1
  • PIPETX0COMPLIANCE=1
  • PIPETX0DATA0=1
  • PIPETX0DATA1=1
  • PIPETX0DATA10=1
  • PIPETX0DATA11=1
  • PIPETX0DATA12=1
  • PIPETX0DATA13=1
  • PIPETX0DATA14=1
  • PIPETX0DATA15=1
  • PIPETX0DATA2=1
  • PIPETX0DATA3=1
  • PIPETX0DATA4=1
  • PIPETX0DATA5=1
  • PIPETX0DATA6=1
  • PIPETX0DATA7=1
  • PIPETX0DATA8=1
  • PIPETX0DATA9=1
  • PIPETX0ELECIDLE=1
  • PIPETX0POWERDOWN0=1
  • PIPETX0POWERDOWN1=1
  • PIPETX1CHARISK0=1
  • PIPETX1CHARISK1=1
  • PIPETX1COMPLIANCE=1
  • PIPETX1DATA0=1
  • PIPETX1DATA1=1
  • PIPETX1DATA10=1
  • PIPETX1DATA11=1
  • PIPETX1DATA12=1
  • PIPETX1DATA13=1
  • PIPETX1DATA14=1
  • PIPETX1DATA15=1
  • PIPETX1DATA2=1
  • PIPETX1DATA3=1
  • PIPETX1DATA4=1
  • PIPETX1DATA5=1
  • PIPETX1DATA6=1
  • PIPETX1DATA7=1
  • PIPETX1DATA8=1
  • PIPETX1DATA9=1
  • PIPETX1ELECIDLE=1
  • PIPETX1POWERDOWN0=1
  • PIPETX1POWERDOWN1=1
  • PIPETX2CHARISK0=1
  • PIPETX2CHARISK1=1
  • PIPETX2COMPLIANCE=1
  • PIPETX2DATA0=1
  • PIPETX2DATA1=1
  • PIPETX2DATA10=1
  • PIPETX2DATA11=1
  • PIPETX2DATA12=1
  • PIPETX2DATA13=1
  • PIPETX2DATA14=1
  • PIPETX2DATA15=1
  • PIPETX2DATA2=1
  • PIPETX2DATA3=1
  • PIPETX2DATA4=1
  • PIPETX2DATA5=1
  • PIPETX2DATA6=1
  • PIPETX2DATA7=1
  • PIPETX2DATA8=1
  • PIPETX2DATA9=1
  • PIPETX2ELECIDLE=1
  • PIPETX2POWERDOWN0=1
  • PIPETX2POWERDOWN1=1
  • PIPETX3CHARISK0=1
  • PIPETX3CHARISK1=1
  • PIPETX3COMPLIANCE=1
  • PIPETX3DATA0=1
  • PIPETX3DATA1=1
  • PIPETX3DATA10=1
  • PIPETX3DATA11=1
  • PIPETX3DATA12=1
  • PIPETX3DATA13=1
  • PIPETX3DATA14=1
  • PIPETX3DATA15=1
  • PIPETX3DATA2=1
  • PIPETX3DATA3=1
  • PIPETX3DATA4=1
  • PIPETX3DATA5=1
  • PIPETX3DATA6=1
  • PIPETX3DATA7=1
  • PIPETX3DATA8=1
  • PIPETX3DATA9=1
  • PIPETX3ELECIDLE=1
  • PIPETX3POWERDOWN0=1
  • PIPETX3POWERDOWN1=1
  • PIPETX4CHARISK0=1
  • PIPETX4CHARISK1=1
  • PIPETX4COMPLIANCE=1
  • PIPETX4DATA0=1
  • PIPETX4DATA1=1
  • PIPETX4DATA10=1
  • PIPETX4DATA11=1
  • PIPETX4DATA12=1
  • PIPETX4DATA13=1
  • PIPETX4DATA14=1
  • PIPETX4DATA15=1
  • PIPETX4DATA2=1
  • PIPETX4DATA3=1
  • PIPETX4DATA4=1
  • PIPETX4DATA5=1
  • PIPETX4DATA6=1
  • PIPETX4DATA7=1
  • PIPETX4DATA8=1
  • PIPETX4DATA9=1
  • PIPETX4ELECIDLE=1
  • PIPETX4POWERDOWN0=1
  • PIPETX4POWERDOWN1=1
  • PIPETX5CHARISK0=1
  • PIPETX5CHARISK1=1
  • PIPETX5COMPLIANCE=1
  • PIPETX5DATA0=1
  • PIPETX5DATA1=1
  • PIPETX5DATA10=1
  • PIPETX5DATA11=1
  • PIPETX5DATA12=1
  • PIPETX5DATA13=1
  • PIPETX5DATA14=1
  • PIPETX5DATA15=1
  • PIPETX5DATA2=1
  • PIPETX5DATA3=1
  • PIPETX5DATA4=1
  • PIPETX5DATA5=1
  • PIPETX5DATA6=1
  • PIPETX5DATA7=1
  • PIPETX5DATA8=1
  • PIPETX5DATA9=1
  • PIPETX5ELECIDLE=1
  • PIPETX5POWERDOWN0=1
  • PIPETX5POWERDOWN1=1
  • PIPETX6CHARISK0=1
  • PIPETX6CHARISK1=1
  • PIPETX6COMPLIANCE=1
  • PIPETX6DATA0=1
  • PIPETX6DATA1=1
  • PIPETX6DATA10=1
  • PIPETX6DATA11=1
  • PIPETX6DATA12=1
  • PIPETX6DATA13=1
  • PIPETX6DATA14=1
  • PIPETX6DATA15=1
  • PIPETX6DATA2=1
  • PIPETX6DATA3=1
  • PIPETX6DATA4=1
  • PIPETX6DATA5=1
  • PIPETX6DATA6=1
  • PIPETX6DATA7=1
  • PIPETX6DATA8=1
  • PIPETX6DATA9=1
  • PIPETX6ELECIDLE=1
  • PIPETX6POWERDOWN0=1
  • PIPETX6POWERDOWN1=1
  • PIPETX7CHARISK0=1
  • PIPETX7CHARISK1=1
  • PIPETX7COMPLIANCE=1
  • PIPETX7DATA0=1
  • PIPETX7DATA1=1
  • PIPETX7DATA10=1
  • PIPETX7DATA11=1
  • PIPETX7DATA12=1
  • PIPETX7DATA13=1
  • PIPETX7DATA14=1
  • PIPETX7DATA15=1
  • PIPETX7DATA2=1
  • PIPETX7DATA3=1
  • PIPETX7DATA4=1
  • PIPETX7DATA5=1
  • PIPETX7DATA6=1
  • PIPETX7DATA7=1
  • PIPETX7DATA8=1
  • PIPETX7DATA9=1
  • PIPETX7ELECIDLE=1
  • PIPETX7POWERDOWN0=1
  • PIPETX7POWERDOWN1=1
  • PIPETXDEEMPH=1
  • PIPETXMARGIN0=1
  • PIPETXMARGIN1=1
  • PIPETXMARGIN2=1
  • PIPETXRATE=1
  • PIPETXRCVRDET=1
  • PL2DIRECTEDLSTATE0=1
  • PL2DIRECTEDLSTATE1=1
  • PL2DIRECTEDLSTATE2=1
  • PL2DIRECTEDLSTATE3=1
  • PL2DIRECTEDLSTATE4=1
  • PLDBGMODE0=1
  • PLDBGMODE1=1
  • PLDBGMODE2=1
  • PLDIRECTEDLINKAUTON=1
  • PLDIRECTEDLINKCHANGE0=1
  • PLDIRECTEDLINKCHANGE1=1
  • PLDIRECTEDLINKSPEED=1
  • PLDIRECTEDLINKWIDTH0=1
  • PLDIRECTEDLINKWIDTH1=1
  • PLDIRECTEDLTSSMNEW0=1
  • PLDIRECTEDLTSSMNEW1=1
  • PLDIRECTEDLTSSMNEW2=1
  • PLDIRECTEDLTSSMNEW3=1
  • PLDIRECTEDLTSSMNEW4=1
  • PLDIRECTEDLTSSMNEW5=1
  • PLDIRECTEDLTSSMNEWVLD=1
  • PLDIRECTEDLTSSMSTALL=1
  • PLDOWNSTREAMDEEMPHSOURCE=1
  • PLINITIALLINKWIDTH0=1
  • PLINITIALLINKWIDTH1=1
  • PLINITIALLINKWIDTH2=1
  • PLLANEREVERSALMODE0=1
  • PLLANEREVERSALMODE1=1
  • PLLINKGEN2CAP=1
  • PLLINKPARTNERGEN2SUPPORTED=1
  • PLLINKUPCFGCAP=1
  • PLLTSSMSTATE0=1
  • PLLTSSMSTATE1=1
  • PLLTSSMSTATE2=1
  • PLLTSSMSTATE3=1
  • PLLTSSMSTATE4=1
  • PLLTSSMSTATE5=1
  • PLPHYLNKUPN=1
  • PLRECEIVEDHOTRST=1
  • PLRSTN=1
  • PLSELLNKRATE=1
  • PLSELLNKWIDTH0=1
  • PLSELLNKWIDTH1=1
  • PLTRANSMITHOTRST=1
  • PLUPSTREAMPREFERDEEMPH=1
  • SYSRSTN=1
  • TL2ASPMSUSPENDCREDITCHECK=1
  • TL2PPMSUSPENDREQ=1
  • TLRSTN=1
  • TRNFCSEL0=1
  • TRNFCSEL1=1
  • TRNFCSEL2=1
  • TRNLNKUP=1
  • TRNRBARHIT0=1
  • TRNRBARHIT1=1
  • TRNRBARHIT2=1
  • TRNRBARHIT3=1
  • TRNRBARHIT4=1
  • TRNRBARHIT5=1
  • TRNRBARHIT6=1
  • TRNRD0=1
  • TRNRD1=1
  • TRNRD10=1
  • TRNRD100=1
  • TRNRD101=1
  • TRNRD102=1
  • TRNRD103=1
  • TRNRD104=1
  • TRNRD105=1
  • TRNRD106=1
  • TRNRD107=1
  • TRNRD108=1
  • TRNRD109=1
  • TRNRD11=1
  • TRNRD110=1
  • TRNRD111=1
  • TRNRD112=1
  • TRNRD113=1
  • TRNRD114=1
  • TRNRD115=1
  • TRNRD116=1
  • TRNRD117=1
  • TRNRD118=1
  • TRNRD119=1
  • TRNRD12=1
  • TRNRD120=1
  • TRNRD121=1
  • TRNRD122=1
  • TRNRD123=1
  • TRNRD124=1
  • TRNRD125=1
  • TRNRD126=1
  • TRNRD127=1
  • TRNRD13=1
  • TRNRD14=1
  • TRNRD15=1
  • TRNRD16=1
  • TRNRD17=1
  • TRNRD18=1
  • TRNRD19=1
  • TRNRD2=1
  • TRNRD20=1
  • TRNRD21=1
  • TRNRD22=1
  • TRNRD23=1
  • TRNRD24=1
  • TRNRD25=1
  • TRNRD26=1
  • TRNRD27=1
  • TRNRD28=1
  • TRNRD29=1
  • TRNRD3=1
  • TRNRD30=1
  • TRNRD31=1
  • TRNRD32=1
  • TRNRD33=1
  • TRNRD34=1
  • TRNRD35=1
  • TRNRD36=1
  • TRNRD37=1
  • TRNRD38=1
  • TRNRD39=1
  • TRNRD4=1
  • TRNRD40=1
  • TRNRD41=1
  • TRNRD42=1
  • TRNRD43=1
  • TRNRD44=1
  • TRNRD45=1
  • TRNRD46=1
  • TRNRD47=1
  • TRNRD48=1
  • TRNRD49=1
  • TRNRD5=1
  • TRNRD50=1
  • TRNRD51=1
  • TRNRD52=1
  • TRNRD53=1
  • TRNRD54=1
  • TRNRD55=1
  • TRNRD56=1
  • TRNRD57=1
  • TRNRD58=1
  • TRNRD59=1
  • TRNRD6=1
  • TRNRD60=1
  • TRNRD61=1
  • TRNRD62=1
  • TRNRD63=1
  • TRNRD64=1
  • TRNRD65=1
  • TRNRD66=1
  • TRNRD67=1
  • TRNRD68=1
  • TRNRD69=1
  • TRNRD7=1
  • TRNRD70=1
  • TRNRD71=1
  • TRNRD72=1
  • TRNRD73=1
  • TRNRD74=1
  • TRNRD75=1
  • TRNRD76=1
  • TRNRD77=1
  • TRNRD78=1
  • TRNRD79=1
  • TRNRD8=1
  • TRNRD80=1
  • TRNRD81=1
  • TRNRD82=1
  • TRNRD83=1
  • TRNRD84=1
  • TRNRD85=1
  • TRNRD86=1
  • TRNRD87=1
  • TRNRD88=1
  • TRNRD89=1
  • TRNRD9=1
  • TRNRD90=1
  • TRNRD91=1
  • TRNRD92=1
  • TRNRD93=1
  • TRNRD94=1
  • TRNRD95=1
  • TRNRD96=1
  • TRNRD97=1
  • TRNRD98=1
  • TRNRD99=1
  • TRNRDSTRDY=1
  • TRNRECRCERR=1
  • TRNREOF=1
  • TRNRERRFWD=1
  • TRNRFCPRET=1
  • TRNRNPOK=1
  • TRNRNPREQ=1
  • TRNRREM0=1
  • TRNRREM1=1
  • TRNRSOF=1
  • TRNRSRCDSC=1
  • TRNRSRCRDY=1
  • TRNTBUFAV0=1
  • TRNTBUFAV1=1
  • TRNTBUFAV2=1
  • TRNTBUFAV3=1
  • TRNTBUFAV4=1
  • TRNTBUFAV5=1
  • TRNTCFGGNT=1
  • TRNTCFGREQ=1
  • TRNTD0=1
  • TRNTD1=1
  • TRNTD10=1
  • TRNTD100=1
  • TRNTD101=1
  • TRNTD102=1
  • TRNTD103=1
  • TRNTD104=1
  • TRNTD105=1
  • TRNTD106=1
  • TRNTD107=1
  • TRNTD108=1
  • TRNTD109=1
  • TRNTD11=1
  • TRNTD110=1
  • TRNTD111=1
  • TRNTD112=1
  • TRNTD113=1
  • TRNTD114=1
  • TRNTD115=1
  • TRNTD116=1
  • TRNTD117=1
  • TRNTD118=1
  • TRNTD119=1
  • TRNTD12=1
  • TRNTD120=1
  • TRNTD121=1
  • TRNTD122=1
  • TRNTD123=1
  • TRNTD124=1
  • TRNTD125=1
  • TRNTD126=1
  • TRNTD127=1
  • TRNTD13=1
  • TRNTD14=1
  • TRNTD15=1
  • TRNTD16=1
  • TRNTD17=1
  • TRNTD18=1
  • TRNTD19=1
  • TRNTD2=1
  • TRNTD20=1
  • TRNTD21=1
  • TRNTD22=1
  • TRNTD23=1
  • TRNTD24=1
  • TRNTD25=1
  • TRNTD26=1
  • TRNTD27=1
  • TRNTD28=1
  • TRNTD29=1
  • TRNTD3=1
  • TRNTD30=1
  • TRNTD31=1
  • TRNTD32=1
  • TRNTD33=1
  • TRNTD34=1
  • TRNTD35=1
  • TRNTD36=1
  • TRNTD37=1
  • TRNTD38=1
  • TRNTD39=1
  • TRNTD4=1
  • TRNTD40=1
  • TRNTD41=1
  • TRNTD42=1
  • TRNTD43=1
  • TRNTD44=1
  • TRNTD45=1
  • TRNTD46=1
  • TRNTD47=1
  • TRNTD48=1
  • TRNTD49=1
  • TRNTD5=1
  • TRNTD50=1
  • TRNTD51=1
  • TRNTD52=1
  • TRNTD53=1
  • TRNTD54=1
  • TRNTD55=1
  • TRNTD56=1
  • TRNTD57=1
  • TRNTD58=1
  • TRNTD59=1
  • TRNTD6=1
  • TRNTD60=1
  • TRNTD61=1
  • TRNTD62=1
  • TRNTD63=1
  • TRNTD64=1
  • TRNTD65=1
  • TRNTD66=1
  • TRNTD67=1
  • TRNTD68=1
  • TRNTD69=1
  • TRNTD7=1
  • TRNTD70=1
  • TRNTD71=1
  • TRNTD72=1
  • TRNTD73=1
  • TRNTD74=1
  • TRNTD75=1
  • TRNTD76=1
  • TRNTD77=1
  • TRNTD78=1
  • TRNTD79=1
  • TRNTD8=1
  • TRNTD80=1
  • TRNTD81=1
  • TRNTD82=1
  • TRNTD83=1
  • TRNTD84=1
  • TRNTD85=1
  • TRNTD86=1
  • TRNTD87=1
  • TRNTD88=1
  • TRNTD89=1
  • TRNTD9=1
  • TRNTD90=1
  • TRNTD91=1
  • TRNTD92=1
  • TRNTD93=1
  • TRNTD94=1
  • TRNTD95=1
  • TRNTD96=1
  • TRNTD97=1
  • TRNTD98=1
  • TRNTD99=1
  • TRNTDLLPDATA0=1
  • TRNTDLLPDATA1=1
  • TRNTDLLPDATA10=1
  • TRNTDLLPDATA11=1
  • TRNTDLLPDATA12=1
  • TRNTDLLPDATA13=1
  • TRNTDLLPDATA14=1
  • TRNTDLLPDATA15=1
  • TRNTDLLPDATA16=1
  • TRNTDLLPDATA17=1
  • TRNTDLLPDATA18=1
  • TRNTDLLPDATA19=1
  • TRNTDLLPDATA2=1
  • TRNTDLLPDATA20=1
  • TRNTDLLPDATA21=1
  • TRNTDLLPDATA22=1
  • TRNTDLLPDATA23=1
  • TRNTDLLPDATA24=1
  • TRNTDLLPDATA25=1
  • TRNTDLLPDATA26=1
  • TRNTDLLPDATA27=1
  • TRNTDLLPDATA28=1
  • TRNTDLLPDATA29=1
  • TRNTDLLPDATA3=1
  • TRNTDLLPDATA30=1
  • TRNTDLLPDATA31=1
  • TRNTDLLPDATA4=1
  • TRNTDLLPDATA5=1
  • TRNTDLLPDATA6=1
  • TRNTDLLPDATA7=1
  • TRNTDLLPDATA8=1
  • TRNTDLLPDATA9=1
  • TRNTDLLPSRCRDY=1
  • TRNTDSTRDY0=1
  • TRNTECRCGEN=1
  • TRNTEOF=1
  • TRNTERRFWD=1
  • TRNTREM0=1
  • TRNTREM1=1
  • TRNTSOF=1
  • TRNTSRCDSC=1
  • TRNTSRCRDY=1
  • TRNTSTR=1
  • USERCLK=1
  • USERCLK2=1
  • USERRSTN=1
PCIE_2_1_PCIE_2_1
  • CFGAERINTERRUPTMSGNUM0=1
  • CFGAERINTERRUPTMSGNUM1=1
  • CFGAERINTERRUPTMSGNUM2=1
  • CFGAERINTERRUPTMSGNUM3=1
  • CFGAERINTERRUPTMSGNUM4=1
  • CFGDEVID0=1
  • CFGDEVID1=1
  • CFGDEVID10=1
  • CFGDEVID11=1
  • CFGDEVID12=1
  • CFGDEVID13=1
  • CFGDEVID14=1
  • CFGDEVID15=1
  • CFGDEVID2=1
  • CFGDEVID3=1
  • CFGDEVID4=1
  • CFGDEVID5=1
  • CFGDEVID6=1
  • CFGDEVID7=1
  • CFGDEVID8=1
  • CFGDEVID9=1
  • CFGDSBUSNUMBER0=1
  • CFGDSBUSNUMBER1=1
  • CFGDSBUSNUMBER2=1
  • CFGDSBUSNUMBER3=1
  • CFGDSBUSNUMBER4=1
  • CFGDSBUSNUMBER5=1
  • CFGDSBUSNUMBER6=1
  • CFGDSBUSNUMBER7=1
  • CFGDSDEVICENUMBER0=1
  • CFGDSDEVICENUMBER1=1
  • CFGDSDEVICENUMBER2=1
  • CFGDSDEVICENUMBER3=1
  • CFGDSDEVICENUMBER4=1
  • CFGDSFUNCTIONNUMBER0=1
  • CFGDSFUNCTIONNUMBER1=1
  • CFGDSFUNCTIONNUMBER2=1
  • CFGDSN0=1
  • CFGDSN1=1
  • CFGDSN10=1
  • CFGDSN11=1
  • CFGDSN12=1
  • CFGDSN13=1
  • CFGDSN14=1
  • CFGDSN15=1
  • CFGDSN16=1
  • CFGDSN17=1
  • CFGDSN18=1
  • CFGDSN19=1
  • CFGDSN2=1
  • CFGDSN20=1
  • CFGDSN21=1
  • CFGDSN22=1
  • CFGDSN23=1
  • CFGDSN24=1
  • CFGDSN25=1
  • CFGDSN26=1
  • CFGDSN27=1
  • CFGDSN28=1
  • CFGDSN29=1
  • CFGDSN3=1
  • CFGDSN30=1
  • CFGDSN31=1
  • CFGDSN32=1
  • CFGDSN33=1
  • CFGDSN34=1
  • CFGDSN35=1
  • CFGDSN36=1
  • CFGDSN37=1
  • CFGDSN38=1
  • CFGDSN39=1
  • CFGDSN4=1
  • CFGDSN40=1
  • CFGDSN41=1
  • CFGDSN42=1
  • CFGDSN43=1
  • CFGDSN44=1
  • CFGDSN45=1
  • CFGDSN46=1
  • CFGDSN47=1
  • CFGDSN48=1
  • CFGDSN49=1
  • CFGDSN5=1
  • CFGDSN50=1
  • CFGDSN51=1
  • CFGDSN52=1
  • CFGDSN53=1
  • CFGDSN54=1
  • CFGDSN55=1
  • CFGDSN56=1
  • CFGDSN57=1
  • CFGDSN58=1
  • CFGDSN59=1
  • CFGDSN6=1
  • CFGDSN60=1
  • CFGDSN61=1
  • CFGDSN62=1
  • CFGDSN63=1
  • CFGDSN7=1
  • CFGDSN8=1
  • CFGDSN9=1
  • CFGERRACSN=1
  • CFGERRAERHEADERLOG0=1
  • CFGERRAERHEADERLOG1=1
  • CFGERRAERHEADERLOG10=1
  • CFGERRAERHEADERLOG100=1
  • CFGERRAERHEADERLOG101=1
  • CFGERRAERHEADERLOG102=1
  • CFGERRAERHEADERLOG103=1
  • CFGERRAERHEADERLOG104=1
  • CFGERRAERHEADERLOG105=1
  • CFGERRAERHEADERLOG106=1
  • CFGERRAERHEADERLOG107=1
  • CFGERRAERHEADERLOG108=1
  • CFGERRAERHEADERLOG109=1
  • CFGERRAERHEADERLOG11=1
  • CFGERRAERHEADERLOG110=1
  • CFGERRAERHEADERLOG111=1
  • CFGERRAERHEADERLOG112=1
  • CFGERRAERHEADERLOG113=1
  • CFGERRAERHEADERLOG114=1
  • CFGERRAERHEADERLOG115=1
  • CFGERRAERHEADERLOG116=1
  • CFGERRAERHEADERLOG117=1
  • CFGERRAERHEADERLOG118=1
  • CFGERRAERHEADERLOG119=1
  • CFGERRAERHEADERLOG12=1
  • CFGERRAERHEADERLOG120=1
  • CFGERRAERHEADERLOG121=1
  • CFGERRAERHEADERLOG122=1
  • CFGERRAERHEADERLOG123=1
  • CFGERRAERHEADERLOG124=1
  • CFGERRAERHEADERLOG125=1
  • CFGERRAERHEADERLOG126=1
  • CFGERRAERHEADERLOG127=1
  • CFGERRAERHEADERLOG13=1
  • CFGERRAERHEADERLOG14=1
  • CFGERRAERHEADERLOG15=1
  • CFGERRAERHEADERLOG16=1
  • CFGERRAERHEADERLOG17=1
  • CFGERRAERHEADERLOG18=1
  • CFGERRAERHEADERLOG19=1
  • CFGERRAERHEADERLOG2=1
  • CFGERRAERHEADERLOG20=1
  • CFGERRAERHEADERLOG21=1
  • CFGERRAERHEADERLOG22=1
  • CFGERRAERHEADERLOG23=1
  • CFGERRAERHEADERLOG24=1
  • CFGERRAERHEADERLOG25=1
  • CFGERRAERHEADERLOG26=1
  • CFGERRAERHEADERLOG27=1
  • CFGERRAERHEADERLOG28=1
  • CFGERRAERHEADERLOG29=1
  • CFGERRAERHEADERLOG3=1
  • CFGERRAERHEADERLOG30=1
  • CFGERRAERHEADERLOG31=1
  • CFGERRAERHEADERLOG32=1
  • CFGERRAERHEADERLOG33=1
  • CFGERRAERHEADERLOG34=1
  • CFGERRAERHEADERLOG35=1
  • CFGERRAERHEADERLOG36=1
  • CFGERRAERHEADERLOG37=1
  • CFGERRAERHEADERLOG38=1
  • CFGERRAERHEADERLOG39=1
  • CFGERRAERHEADERLOG4=1
  • CFGERRAERHEADERLOG40=1
  • CFGERRAERHEADERLOG41=1
  • CFGERRAERHEADERLOG42=1
  • CFGERRAERHEADERLOG43=1
  • CFGERRAERHEADERLOG44=1
  • CFGERRAERHEADERLOG45=1
  • CFGERRAERHEADERLOG46=1
  • CFGERRAERHEADERLOG47=1
  • CFGERRAERHEADERLOG48=1
  • CFGERRAERHEADERLOG49=1
  • CFGERRAERHEADERLOG5=1
  • CFGERRAERHEADERLOG50=1
  • CFGERRAERHEADERLOG51=1
  • CFGERRAERHEADERLOG52=1
  • CFGERRAERHEADERLOG53=1
  • CFGERRAERHEADERLOG54=1
  • CFGERRAERHEADERLOG55=1
  • CFGERRAERHEADERLOG56=1
  • CFGERRAERHEADERLOG57=1
  • CFGERRAERHEADERLOG58=1
  • CFGERRAERHEADERLOG59=1
  • CFGERRAERHEADERLOG6=1
  • CFGERRAERHEADERLOG60=1
  • CFGERRAERHEADERLOG61=1
  • CFGERRAERHEADERLOG62=1
  • CFGERRAERHEADERLOG63=1
  • CFGERRAERHEADERLOG64=1
  • CFGERRAERHEADERLOG65=1
  • CFGERRAERHEADERLOG66=1
  • CFGERRAERHEADERLOG67=1
  • CFGERRAERHEADERLOG68=1
  • CFGERRAERHEADERLOG69=1
  • CFGERRAERHEADERLOG7=1
  • CFGERRAERHEADERLOG70=1
  • CFGERRAERHEADERLOG71=1
  • CFGERRAERHEADERLOG72=1
  • CFGERRAERHEADERLOG73=1
  • CFGERRAERHEADERLOG74=1
  • CFGERRAERHEADERLOG75=1
  • CFGERRAERHEADERLOG76=1
  • CFGERRAERHEADERLOG77=1
  • CFGERRAERHEADERLOG78=1
  • CFGERRAERHEADERLOG79=1
  • CFGERRAERHEADERLOG8=1
  • CFGERRAERHEADERLOG80=1
  • CFGERRAERHEADERLOG81=1
  • CFGERRAERHEADERLOG82=1
  • CFGERRAERHEADERLOG83=1
  • CFGERRAERHEADERLOG84=1
  • CFGERRAERHEADERLOG85=1
  • CFGERRAERHEADERLOG86=1
  • CFGERRAERHEADERLOG87=1
  • CFGERRAERHEADERLOG88=1
  • CFGERRAERHEADERLOG89=1
  • CFGERRAERHEADERLOG9=1
  • CFGERRAERHEADERLOG90=1
  • CFGERRAERHEADERLOG91=1
  • CFGERRAERHEADERLOG92=1
  • CFGERRAERHEADERLOG93=1
  • CFGERRAERHEADERLOG94=1
  • CFGERRAERHEADERLOG95=1
  • CFGERRAERHEADERLOG96=1
  • CFGERRAERHEADERLOG97=1
  • CFGERRAERHEADERLOG98=1
  • CFGERRAERHEADERLOG99=1
  • CFGERRATOMICEGRESSBLOCKEDN=1
  • CFGERRCORN=1
  • CFGERRCPLABORTN=1
  • CFGERRCPLTIMEOUTN=1
  • CFGERRCPLUNEXPECTN=1
  • CFGERRECRCN=1
  • CFGERRINTERNALCORN=1
  • CFGERRINTERNALUNCORN=1
  • CFGERRLOCKEDN=1
  • CFGERRMALFORMEDN=1
  • CFGERRMCBLOCKEDN=1
  • CFGERRNORECOVERYN=1
  • CFGERRPOISONEDN=1
  • CFGERRPOSTEDN=1
  • CFGERRTLPCPLHEADER0=1
  • CFGERRTLPCPLHEADER1=1
  • CFGERRTLPCPLHEADER10=1
  • CFGERRTLPCPLHEADER11=1
  • CFGERRTLPCPLHEADER12=1
  • CFGERRTLPCPLHEADER13=1
  • CFGERRTLPCPLHEADER14=1
  • CFGERRTLPCPLHEADER15=1
  • CFGERRTLPCPLHEADER16=1
  • CFGERRTLPCPLHEADER17=1
  • CFGERRTLPCPLHEADER18=1
  • CFGERRTLPCPLHEADER19=1
  • CFGERRTLPCPLHEADER2=1
  • CFGERRTLPCPLHEADER20=1
  • CFGERRTLPCPLHEADER21=1
  • CFGERRTLPCPLHEADER22=1
  • CFGERRTLPCPLHEADER23=1
  • CFGERRTLPCPLHEADER24=1
  • CFGERRTLPCPLHEADER25=1
  • CFGERRTLPCPLHEADER26=1
  • CFGERRTLPCPLHEADER27=1
  • CFGERRTLPCPLHEADER28=1
  • CFGERRTLPCPLHEADER29=1
  • CFGERRTLPCPLHEADER3=1
  • CFGERRTLPCPLHEADER30=1
  • CFGERRTLPCPLHEADER31=1
  • CFGERRTLPCPLHEADER32=1
  • CFGERRTLPCPLHEADER33=1
  • CFGERRTLPCPLHEADER34=1
  • CFGERRTLPCPLHEADER35=1
  • CFGERRTLPCPLHEADER36=1
  • CFGERRTLPCPLHEADER37=1
  • CFGERRTLPCPLHEADER38=1
  • CFGERRTLPCPLHEADER39=1
  • CFGERRTLPCPLHEADER4=1
  • CFGERRTLPCPLHEADER40=1
  • CFGERRTLPCPLHEADER41=1
  • CFGERRTLPCPLHEADER42=1
  • CFGERRTLPCPLHEADER43=1
  • CFGERRTLPCPLHEADER44=1
  • CFGERRTLPCPLHEADER45=1
  • CFGERRTLPCPLHEADER46=1
  • CFGERRTLPCPLHEADER47=1
  • CFGERRTLPCPLHEADER5=1
  • CFGERRTLPCPLHEADER6=1
  • CFGERRTLPCPLHEADER7=1
  • CFGERRTLPCPLHEADER8=1
  • CFGERRTLPCPLHEADER9=1
  • CFGERRURN=1
  • CFGFORCECOMMONCLOCKOFF=1
  • CFGFORCEEXTENDEDSYNCON=1
  • CFGFORCEMPS0=1
  • CFGFORCEMPS1=1
  • CFGFORCEMPS2=1
  • CFGINTERRUPTASSERTN=1
  • CFGINTERRUPTDI0=1
  • CFGINTERRUPTDI1=1
  • CFGINTERRUPTDI2=1
  • CFGINTERRUPTDI3=1
  • CFGINTERRUPTDI4=1
  • CFGINTERRUPTDI5=1
  • CFGINTERRUPTDI6=1
  • CFGINTERRUPTDI7=1
  • CFGINTERRUPTN=1
  • CFGINTERRUPTRDYN=1
  • CFGINTERRUPTSTATN=1
  • CFGMGMTBYTEENN0=1
  • CFGMGMTBYTEENN1=1
  • CFGMGMTBYTEENN2=1
  • CFGMGMTBYTEENN3=1
  • CFGMGMTDI0=1
  • CFGMGMTDI1=1
  • CFGMGMTDI10=1
  • CFGMGMTDI11=1
  • CFGMGMTDI12=1
  • CFGMGMTDI13=1
  • CFGMGMTDI14=1
  • CFGMGMTDI15=1
  • CFGMGMTDI16=1
  • CFGMGMTDI17=1
  • CFGMGMTDI18=1
  • CFGMGMTDI19=1
  • CFGMGMTDI2=1
  • CFGMGMTDI20=1
  • CFGMGMTDI21=1
  • CFGMGMTDI22=1
  • CFGMGMTDI23=1
  • CFGMGMTDI24=1
  • CFGMGMTDI25=1
  • CFGMGMTDI26=1
  • CFGMGMTDI27=1
  • CFGMGMTDI28=1
  • CFGMGMTDI29=1
  • CFGMGMTDI3=1
  • CFGMGMTDI30=1
  • CFGMGMTDI31=1
  • CFGMGMTDI4=1
  • CFGMGMTDI5=1
  • CFGMGMTDI6=1
  • CFGMGMTDI7=1
  • CFGMGMTDI8=1
  • CFGMGMTDI9=1
  • CFGMGMTDWADDR0=1
  • CFGMGMTDWADDR1=1
  • CFGMGMTDWADDR2=1
  • CFGMGMTDWADDR3=1
  • CFGMGMTDWADDR4=1
  • CFGMGMTDWADDR5=1
  • CFGMGMTDWADDR6=1
  • CFGMGMTDWADDR7=1
  • CFGMGMTDWADDR8=1
  • CFGMGMTDWADDR9=1
  • CFGMGMTRDENN=1
  • CFGMGMTWRENN=1
  • CFGMGMTWRREADONLYN=1
  • CFGMGMTWRRW1CASRWN=1
  • CFGMSGDATA0=1
  • CFGMSGDATA1=1
  • CFGMSGDATA10=1
  • CFGMSGDATA11=1
  • CFGMSGDATA12=1
  • CFGMSGDATA13=1
  • CFGMSGDATA14=1
  • CFGMSGDATA15=1
  • CFGMSGDATA2=1
  • CFGMSGDATA3=1
  • CFGMSGDATA4=1
  • CFGMSGDATA5=1
  • CFGMSGDATA6=1
  • CFGMSGDATA7=1
  • CFGMSGDATA8=1
  • CFGMSGDATA9=1
  • CFGMSGRECEIVED=1
  • CFGPCIECAPINTERRUPTMSGNUM0=1
  • CFGPCIECAPINTERRUPTMSGNUM1=1
  • CFGPCIECAPINTERRUPTMSGNUM2=1
  • CFGPCIECAPINTERRUPTMSGNUM3=1
  • CFGPCIECAPINTERRUPTMSGNUM4=1
  • CFGPCIELINKSTATE0=1
  • CFGPCIELINKSTATE1=1
  • CFGPCIELINKSTATE2=1
  • CFGPMFORCESTATE0=1
  • CFGPMFORCESTATE1=1
  • CFGPMFORCESTATEENN=1
  • CFGPMHALTASPML0SN=1
  • CFGPMHALTASPML1N=1
  • CFGPMSENDPMETON=1
  • CFGPMTURNOFFOKN=1
  • CFGPMWAKEN=1
  • CFGPORTNUMBER0=1
  • CFGPORTNUMBER1=1
  • CFGPORTNUMBER2=1
  • CFGPORTNUMBER3=1
  • CFGPORTNUMBER4=1
  • CFGPORTNUMBER5=1
  • CFGPORTNUMBER6=1
  • CFGPORTNUMBER7=1
  • CFGREVID0=1
  • CFGREVID1=1
  • CFGREVID2=1
  • CFGREVID3=1
  • CFGREVID4=1
  • CFGREVID5=1
  • CFGREVID6=1
  • CFGREVID7=1
  • CFGSUBSYSID0=1
  • CFGSUBSYSID1=1
  • CFGSUBSYSID10=1
  • CFGSUBSYSID11=1
  • CFGSUBSYSID12=1
  • CFGSUBSYSID13=1
  • CFGSUBSYSID14=1
  • CFGSUBSYSID15=1
  • CFGSUBSYSID2=1
  • CFGSUBSYSID3=1
  • CFGSUBSYSID4=1
  • CFGSUBSYSID5=1
  • CFGSUBSYSID6=1
  • CFGSUBSYSID7=1
  • CFGSUBSYSID8=1
  • CFGSUBSYSID9=1
  • CFGSUBSYSVENDID0=1
  • CFGSUBSYSVENDID1=1
  • CFGSUBSYSVENDID10=1
  • CFGSUBSYSVENDID11=1
  • CFGSUBSYSVENDID12=1
  • CFGSUBSYSVENDID13=1
  • CFGSUBSYSVENDID14=1
  • CFGSUBSYSVENDID15=1
  • CFGSUBSYSVENDID2=1
  • CFGSUBSYSVENDID3=1
  • CFGSUBSYSVENDID4=1
  • CFGSUBSYSVENDID5=1
  • CFGSUBSYSVENDID6=1
  • CFGSUBSYSVENDID7=1
  • CFGSUBSYSVENDID8=1
  • CFGSUBSYSVENDID9=1
  • CFGTRNPENDINGN=1
  • CFGVENDID0=1
  • CFGVENDID1=1
  • CFGVENDID10=1
  • CFGVENDID11=1
  • CFGVENDID12=1
  • CFGVENDID13=1
  • CFGVENDID14=1
  • CFGVENDID15=1
  • CFGVENDID2=1
  • CFGVENDID3=1
  • CFGVENDID4=1
  • CFGVENDID5=1
  • CFGVENDID6=1
  • CFGVENDID7=1
  • CFGVENDID8=1
  • CFGVENDID9=1
  • CMRSTN=1
  • CMSTICKYRSTN=1
  • DBGMODE0=1
  • DBGMODE1=1
  • DBGSUBMODE=1
  • DLRSTN=1
  • DRPADDR0=1
  • DRPADDR1=1
  • DRPADDR2=1
  • DRPADDR3=1
  • DRPADDR4=1
  • DRPADDR5=1
  • DRPADDR6=1
  • DRPADDR7=1
  • DRPADDR8=1
  • DRPCLK=1
  • DRPDI0=1
  • DRPDI1=1
  • DRPDI10=1
  • DRPDI11=1
  • DRPDI12=1
  • DRPDI13=1
  • DRPDI14=1
  • DRPDI15=1
  • DRPDI2=1
  • DRPDI3=1
  • DRPDI4=1
  • DRPDI5=1
  • DRPDI6=1
  • DRPDI7=1
  • DRPDI8=1
  • DRPDI9=1
  • DRPEN=1
  • DRPWE=1
  • FUNCLVLRSTN=1
  • LL2SENDASREQL1=1
  • LL2SENDENTERL1=1
  • LL2SENDENTERL23=1
  • LL2SENDPMACK=1
  • LL2SUSPENDNOW=1
  • LL2TLPRCV=1
  • MIMRXRADDR0=1
  • MIMRXRADDR1=1
  • MIMRXRADDR2=1
  • MIMRXRADDR3=1
  • MIMRXRADDR4=1
  • MIMRXRADDR5=1
  • MIMRXRADDR6=1
  • MIMRXRADDR7=1
  • MIMRXRADDR8=1
  • MIMRXRADDR9=1
  • MIMRXRDATA0=1
  • MIMRXRDATA1=1
  • MIMRXRDATA10=1
  • MIMRXRDATA11=1
  • MIMRXRDATA12=1
  • MIMRXRDATA13=1
  • MIMRXRDATA14=1
  • MIMRXRDATA15=1
  • MIMRXRDATA16=1
  • MIMRXRDATA17=1
  • MIMRXRDATA18=1
  • MIMRXRDATA19=1
  • MIMRXRDATA2=1
  • MIMRXRDATA20=1
  • MIMRXRDATA21=1
  • MIMRXRDATA22=1
  • MIMRXRDATA23=1
  • MIMRXRDATA24=1
  • MIMRXRDATA25=1
  • MIMRXRDATA26=1
  • MIMRXRDATA27=1
  • MIMRXRDATA28=1
  • MIMRXRDATA29=1
  • MIMRXRDATA3=1
  • MIMRXRDATA30=1
  • MIMRXRDATA31=1
  • MIMRXRDATA32=1
  • MIMRXRDATA33=1
  • MIMRXRDATA34=1
  • MIMRXRDATA35=1
  • MIMRXRDATA36=1
  • MIMRXRDATA37=1
  • MIMRXRDATA38=1
  • MIMRXRDATA39=1
  • MIMRXRDATA4=1
  • MIMRXRDATA40=1
  • MIMRXRDATA41=1
  • MIMRXRDATA42=1
  • MIMRXRDATA43=1
  • MIMRXRDATA44=1
  • MIMRXRDATA45=1
  • MIMRXRDATA46=1
  • MIMRXRDATA47=1
  • MIMRXRDATA48=1
  • MIMRXRDATA49=1
  • MIMRXRDATA5=1
  • MIMRXRDATA50=1
  • MIMRXRDATA51=1
  • MIMRXRDATA52=1
  • MIMRXRDATA53=1
  • MIMRXRDATA54=1
  • MIMRXRDATA55=1
  • MIMRXRDATA56=1
  • MIMRXRDATA57=1
  • MIMRXRDATA58=1
  • MIMRXRDATA59=1
  • MIMRXRDATA6=1
  • MIMRXRDATA60=1
  • MIMRXRDATA61=1
  • MIMRXRDATA62=1
  • MIMRXRDATA63=1
  • MIMRXRDATA64=1
  • MIMRXRDATA65=1
  • MIMRXRDATA66=1
  • MIMRXRDATA67=1
  • MIMRXRDATA7=1
  • MIMRXRDATA8=1
  • MIMRXRDATA9=1
  • MIMRXREN=1
  • MIMRXWADDR0=1
  • MIMRXWADDR1=1
  • MIMRXWADDR2=1
  • MIMRXWADDR3=1
  • MIMRXWADDR4=1
  • MIMRXWADDR5=1
  • MIMRXWADDR6=1
  • MIMRXWADDR7=1
  • MIMRXWADDR8=1
  • MIMRXWADDR9=1
  • MIMRXWDATA0=1
  • MIMRXWDATA1=1
  • MIMRXWDATA10=1
  • MIMRXWDATA11=1
  • MIMRXWDATA12=1
  • MIMRXWDATA13=1
  • MIMRXWDATA14=1
  • MIMRXWDATA15=1
  • MIMRXWDATA16=1
  • MIMRXWDATA17=1
  • MIMRXWDATA18=1
  • MIMRXWDATA19=1
  • MIMRXWDATA2=1
  • MIMRXWDATA20=1
  • MIMRXWDATA21=1
  • MIMRXWDATA22=1
  • MIMRXWDATA23=1
  • MIMRXWDATA24=1
  • MIMRXWDATA25=1
  • MIMRXWDATA26=1
  • MIMRXWDATA27=1
  • MIMRXWDATA28=1
  • MIMRXWDATA29=1
  • MIMRXWDATA3=1
  • MIMRXWDATA30=1
  • MIMRXWDATA31=1
  • MIMRXWDATA32=1
  • MIMRXWDATA33=1
  • MIMRXWDATA34=1
  • MIMRXWDATA35=1
  • MIMRXWDATA36=1
  • MIMRXWDATA37=1
  • MIMRXWDATA38=1
  • MIMRXWDATA39=1
  • MIMRXWDATA4=1
  • MIMRXWDATA40=1
  • MIMRXWDATA41=1
  • MIMRXWDATA42=1
  • MIMRXWDATA43=1
  • MIMRXWDATA44=1
  • MIMRXWDATA45=1
  • MIMRXWDATA46=1
  • MIMRXWDATA47=1
  • MIMRXWDATA48=1
  • MIMRXWDATA49=1
  • MIMRXWDATA5=1
  • MIMRXWDATA50=1
  • MIMRXWDATA51=1
  • MIMRXWDATA52=1
  • MIMRXWDATA53=1
  • MIMRXWDATA54=1
  • MIMRXWDATA55=1
  • MIMRXWDATA56=1
  • MIMRXWDATA57=1
  • MIMRXWDATA58=1
  • MIMRXWDATA59=1
  • MIMRXWDATA6=1
  • MIMRXWDATA60=1
  • MIMRXWDATA61=1
  • MIMRXWDATA62=1
  • MIMRXWDATA63=1
  • MIMRXWDATA64=1
  • MIMRXWDATA65=1
  • MIMRXWDATA66=1
  • MIMRXWDATA67=1
  • MIMRXWDATA7=1
  • MIMRXWDATA8=1
  • MIMRXWDATA9=1
  • MIMRXWEN=1
  • MIMTXRADDR0=1
  • MIMTXRADDR1=1
  • MIMTXRADDR2=1
  • MIMTXRADDR3=1
  • MIMTXRADDR4=1
  • MIMTXRADDR5=1
  • MIMTXRADDR6=1
  • MIMTXRADDR7=1
  • MIMTXRADDR8=1
  • MIMTXRADDR9=1
  • MIMTXRDATA0=1
  • MIMTXRDATA1=1
  • MIMTXRDATA10=1
  • MIMTXRDATA11=1
  • MIMTXRDATA12=1
  • MIMTXRDATA13=1
  • MIMTXRDATA14=1
  • MIMTXRDATA15=1
  • MIMTXRDATA16=1
  • MIMTXRDATA17=1
  • MIMTXRDATA18=1
  • MIMTXRDATA19=1
  • MIMTXRDATA2=1
  • MIMTXRDATA20=1
  • MIMTXRDATA21=1
  • MIMTXRDATA22=1
  • MIMTXRDATA23=1
  • MIMTXRDATA24=1
  • MIMTXRDATA25=1
  • MIMTXRDATA26=1
  • MIMTXRDATA27=1
  • MIMTXRDATA28=1
  • MIMTXRDATA29=1
  • MIMTXRDATA3=1
  • MIMTXRDATA30=1
  • MIMTXRDATA31=1
  • MIMTXRDATA32=1
  • MIMTXRDATA33=1
  • MIMTXRDATA34=1
  • MIMTXRDATA35=1
  • MIMTXRDATA36=1
  • MIMTXRDATA37=1
  • MIMTXRDATA38=1
  • MIMTXRDATA39=1
  • MIMTXRDATA4=1
  • MIMTXRDATA40=1
  • MIMTXRDATA41=1
  • MIMTXRDATA42=1
  • MIMTXRDATA43=1
  • MIMTXRDATA44=1
  • MIMTXRDATA45=1
  • MIMTXRDATA46=1
  • MIMTXRDATA47=1
  • MIMTXRDATA48=1
  • MIMTXRDATA49=1
  • MIMTXRDATA5=1
  • MIMTXRDATA50=1
  • MIMTXRDATA51=1
  • MIMTXRDATA52=1
  • MIMTXRDATA53=1
  • MIMTXRDATA54=1
  • MIMTXRDATA55=1
  • MIMTXRDATA56=1
  • MIMTXRDATA57=1
  • MIMTXRDATA58=1
  • MIMTXRDATA59=1
  • MIMTXRDATA6=1
  • MIMTXRDATA60=1
  • MIMTXRDATA61=1
  • MIMTXRDATA62=1
  • MIMTXRDATA63=1
  • MIMTXRDATA64=1
  • MIMTXRDATA65=1
  • MIMTXRDATA66=1
  • MIMTXRDATA67=1
  • MIMTXRDATA68=1
  • MIMTXRDATA7=1
  • MIMTXRDATA8=1
  • MIMTXRDATA9=1
  • MIMTXREN=1
  • MIMTXWADDR0=1
  • MIMTXWADDR1=1
  • MIMTXWADDR2=1
  • MIMTXWADDR3=1
  • MIMTXWADDR4=1
  • MIMTXWADDR5=1
  • MIMTXWADDR6=1
  • MIMTXWADDR7=1
  • MIMTXWADDR8=1
  • MIMTXWADDR9=1
  • MIMTXWDATA0=1
  • MIMTXWDATA1=1
  • MIMTXWDATA10=1
  • MIMTXWDATA11=1
  • MIMTXWDATA12=1
  • MIMTXWDATA13=1
  • MIMTXWDATA14=1
  • MIMTXWDATA15=1
  • MIMTXWDATA16=1
  • MIMTXWDATA17=1
  • MIMTXWDATA18=1
  • MIMTXWDATA19=1
  • MIMTXWDATA2=1
  • MIMTXWDATA20=1
  • MIMTXWDATA21=1
  • MIMTXWDATA22=1
  • MIMTXWDATA23=1
  • MIMTXWDATA24=1
  • MIMTXWDATA25=1
  • MIMTXWDATA26=1
  • MIMTXWDATA27=1
  • MIMTXWDATA28=1
  • MIMTXWDATA29=1
  • MIMTXWDATA3=1
  • MIMTXWDATA30=1
  • MIMTXWDATA31=1
  • MIMTXWDATA32=1
  • MIMTXWDATA33=1
  • MIMTXWDATA34=1
  • MIMTXWDATA35=1
  • MIMTXWDATA36=1
  • MIMTXWDATA37=1
  • MIMTXWDATA38=1
  • MIMTXWDATA39=1
  • MIMTXWDATA4=1
  • MIMTXWDATA40=1
  • MIMTXWDATA41=1
  • MIMTXWDATA42=1
  • MIMTXWDATA43=1
  • MIMTXWDATA44=1
  • MIMTXWDATA45=1
  • MIMTXWDATA46=1
  • MIMTXWDATA47=1
  • MIMTXWDATA48=1
  • MIMTXWDATA49=1
  • MIMTXWDATA5=1
  • MIMTXWDATA50=1
  • MIMTXWDATA51=1
  • MIMTXWDATA52=1
  • MIMTXWDATA53=1
  • MIMTXWDATA54=1
  • MIMTXWDATA55=1
  • MIMTXWDATA56=1
  • MIMTXWDATA57=1
  • MIMTXWDATA58=1
  • MIMTXWDATA59=1
  • MIMTXWDATA6=1
  • MIMTXWDATA60=1
  • MIMTXWDATA61=1
  • MIMTXWDATA62=1
  • MIMTXWDATA63=1
  • MIMTXWDATA64=1
  • MIMTXWDATA65=1
  • MIMTXWDATA66=1
  • MIMTXWDATA67=1
  • MIMTXWDATA68=1
  • MIMTXWDATA7=1
  • MIMTXWDATA8=1
  • MIMTXWDATA9=1
  • MIMTXWEN=1
  • PIPECLK=1
  • PIPERX0CHANISALIGNED=1
  • PIPERX0CHARISK0=1
  • PIPERX0CHARISK1=1
  • PIPERX0DATA0=1
  • PIPERX0DATA1=1
  • PIPERX0DATA10=1
  • PIPERX0DATA11=1
  • PIPERX0DATA12=1
  • PIPERX0DATA13=1
  • PIPERX0DATA14=1
  • PIPERX0DATA15=1
  • PIPERX0DATA2=1
  • PIPERX0DATA3=1
  • PIPERX0DATA4=1
  • PIPERX0DATA5=1
  • PIPERX0DATA6=1
  • PIPERX0DATA7=1
  • PIPERX0DATA8=1
  • PIPERX0DATA9=1
  • PIPERX0ELECIDLE=1
  • PIPERX0PHYSTATUS=1
  • PIPERX0POLARITY=1
  • PIPERX0STATUS0=1
  • PIPERX0STATUS1=1
  • PIPERX0STATUS2=1
  • PIPERX0VALID=1
  • PIPERX1CHANISALIGNED=1
  • PIPERX1CHARISK0=1
  • PIPERX1CHARISK1=1
  • PIPERX1DATA0=1
  • PIPERX1DATA1=1
  • PIPERX1DATA10=1
  • PIPERX1DATA11=1
  • PIPERX1DATA12=1
  • PIPERX1DATA13=1
  • PIPERX1DATA14=1
  • PIPERX1DATA15=1
  • PIPERX1DATA2=1
  • PIPERX1DATA3=1
  • PIPERX1DATA4=1
  • PIPERX1DATA5=1
  • PIPERX1DATA6=1
  • PIPERX1DATA7=1
  • PIPERX1DATA8=1
  • PIPERX1DATA9=1
  • PIPERX1ELECIDLE=1
  • PIPERX1PHYSTATUS=1
  • PIPERX1POLARITY=1
  • PIPERX1STATUS0=1
  • PIPERX1STATUS1=1
  • PIPERX1STATUS2=1
  • PIPERX1VALID=1
  • PIPERX2CHANISALIGNED=1
  • PIPERX2CHARISK0=1
  • PIPERX2CHARISK1=1
  • PIPERX2DATA0=1
  • PIPERX2DATA1=1
  • PIPERX2DATA10=1
  • PIPERX2DATA11=1
  • PIPERX2DATA12=1
  • PIPERX2DATA13=1
  • PIPERX2DATA14=1
  • PIPERX2DATA15=1
  • PIPERX2DATA2=1
  • PIPERX2DATA3=1
  • PIPERX2DATA4=1
  • PIPERX2DATA5=1
  • PIPERX2DATA6=1
  • PIPERX2DATA7=1
  • PIPERX2DATA8=1
  • PIPERX2DATA9=1
  • PIPERX2ELECIDLE=1
  • PIPERX2PHYSTATUS=1
  • PIPERX2POLARITY=1
  • PIPERX2STATUS0=1
  • PIPERX2STATUS1=1
  • PIPERX2STATUS2=1
  • PIPERX2VALID=1
  • PIPERX3CHANISALIGNED=1
  • PIPERX3CHARISK0=1
  • PIPERX3CHARISK1=1
  • PIPERX3DATA0=1
  • PIPERX3DATA1=1
  • PIPERX3DATA10=1
  • PIPERX3DATA11=1
  • PIPERX3DATA12=1
  • PIPERX3DATA13=1
  • PIPERX3DATA14=1
  • PIPERX3DATA15=1
  • PIPERX3DATA2=1
  • PIPERX3DATA3=1
  • PIPERX3DATA4=1
  • PIPERX3DATA5=1
  • PIPERX3DATA6=1
  • PIPERX3DATA7=1
  • PIPERX3DATA8=1
  • PIPERX3DATA9=1
  • PIPERX3ELECIDLE=1
  • PIPERX3PHYSTATUS=1
  • PIPERX3POLARITY=1
  • PIPERX3STATUS0=1
  • PIPERX3STATUS1=1
  • PIPERX3STATUS2=1
  • PIPERX3VALID=1
  • PIPERX4CHANISALIGNED=1
  • PIPERX4CHARISK0=1
  • PIPERX4CHARISK1=1
  • PIPERX4DATA0=1
  • PIPERX4DATA1=1
  • PIPERX4DATA10=1
  • PIPERX4DATA11=1
  • PIPERX4DATA12=1
  • PIPERX4DATA13=1
  • PIPERX4DATA14=1
  • PIPERX4DATA15=1
  • PIPERX4DATA2=1
  • PIPERX4DATA3=1
  • PIPERX4DATA4=1
  • PIPERX4DATA5=1
  • PIPERX4DATA6=1
  • PIPERX4DATA7=1
  • PIPERX4DATA8=1
  • PIPERX4DATA9=1
  • PIPERX4ELECIDLE=1
  • PIPERX4PHYSTATUS=1
  • PIPERX4POLARITY=1
  • PIPERX4STATUS0=1
  • PIPERX4STATUS1=1
  • PIPERX4STATUS2=1
  • PIPERX4VALID=1
  • PIPERX5CHANISALIGNED=1
  • PIPERX5CHARISK0=1
  • PIPERX5CHARISK1=1
  • PIPERX5DATA0=1
  • PIPERX5DATA1=1
  • PIPERX5DATA10=1
  • PIPERX5DATA11=1
  • PIPERX5DATA12=1
  • PIPERX5DATA13=1
  • PIPERX5DATA14=1
  • PIPERX5DATA15=1
  • PIPERX5DATA2=1
  • PIPERX5DATA3=1
  • PIPERX5DATA4=1
  • PIPERX5DATA5=1
  • PIPERX5DATA6=1
  • PIPERX5DATA7=1
  • PIPERX5DATA8=1
  • PIPERX5DATA9=1
  • PIPERX5ELECIDLE=1
  • PIPERX5PHYSTATUS=1
  • PIPERX5POLARITY=1
  • PIPERX5STATUS0=1
  • PIPERX5STATUS1=1
  • PIPERX5STATUS2=1
  • PIPERX5VALID=1
  • PIPERX6CHANISALIGNED=1
  • PIPERX6CHARISK0=1
  • PIPERX6CHARISK1=1
  • PIPERX6DATA0=1
  • PIPERX6DATA1=1
  • PIPERX6DATA10=1
  • PIPERX6DATA11=1
  • PIPERX6DATA12=1
  • PIPERX6DATA13=1
  • PIPERX6DATA14=1
  • PIPERX6DATA15=1
  • PIPERX6DATA2=1
  • PIPERX6DATA3=1
  • PIPERX6DATA4=1
  • PIPERX6DATA5=1
  • PIPERX6DATA6=1
  • PIPERX6DATA7=1
  • PIPERX6DATA8=1
  • PIPERX6DATA9=1
  • PIPERX6ELECIDLE=1
  • PIPERX6PHYSTATUS=1
  • PIPERX6POLARITY=1
  • PIPERX6STATUS0=1
  • PIPERX6STATUS1=1
  • PIPERX6STATUS2=1
  • PIPERX6VALID=1
  • PIPERX7CHANISALIGNED=1
  • PIPERX7CHARISK0=1
  • PIPERX7CHARISK1=1
  • PIPERX7DATA0=1
  • PIPERX7DATA1=1
  • PIPERX7DATA10=1
  • PIPERX7DATA11=1
  • PIPERX7DATA12=1
  • PIPERX7DATA13=1
  • PIPERX7DATA14=1
  • PIPERX7DATA15=1
  • PIPERX7DATA2=1
  • PIPERX7DATA3=1
  • PIPERX7DATA4=1
  • PIPERX7DATA5=1
  • PIPERX7DATA6=1
  • PIPERX7DATA7=1
  • PIPERX7DATA8=1
  • PIPERX7DATA9=1
  • PIPERX7ELECIDLE=1
  • PIPERX7PHYSTATUS=1
  • PIPERX7POLARITY=1
  • PIPERX7STATUS0=1
  • PIPERX7STATUS1=1
  • PIPERX7STATUS2=1
  • PIPERX7VALID=1
  • PIPETX0CHARISK0=1
  • PIPETX0CHARISK1=1
  • PIPETX0COMPLIANCE=1
  • PIPETX0DATA0=1
  • PIPETX0DATA1=1
  • PIPETX0DATA10=1
  • PIPETX0DATA11=1
  • PIPETX0DATA12=1
  • PIPETX0DATA13=1
  • PIPETX0DATA14=1
  • PIPETX0DATA15=1
  • PIPETX0DATA2=1
  • PIPETX0DATA3=1
  • PIPETX0DATA4=1
  • PIPETX0DATA5=1
  • PIPETX0DATA6=1
  • PIPETX0DATA7=1
  • PIPETX0DATA8=1
  • PIPETX0DATA9=1
  • PIPETX0ELECIDLE=1
  • PIPETX0POWERDOWN0=1
  • PIPETX0POWERDOWN1=1
  • PIPETX1CHARISK0=1
  • PIPETX1CHARISK1=1
  • PIPETX1COMPLIANCE=1
  • PIPETX1DATA0=1
  • PIPETX1DATA1=1
  • PIPETX1DATA10=1
  • PIPETX1DATA11=1
  • PIPETX1DATA12=1
  • PIPETX1DATA13=1
  • PIPETX1DATA14=1
  • PIPETX1DATA15=1
  • PIPETX1DATA2=1
  • PIPETX1DATA3=1
  • PIPETX1DATA4=1
  • PIPETX1DATA5=1
  • PIPETX1DATA6=1
  • PIPETX1DATA7=1
  • PIPETX1DATA8=1
  • PIPETX1DATA9=1
  • PIPETX1ELECIDLE=1
  • PIPETX1POWERDOWN0=1
  • PIPETX1POWERDOWN1=1
  • PIPETX2CHARISK0=1
  • PIPETX2CHARISK1=1
  • PIPETX2COMPLIANCE=1
  • PIPETX2DATA0=1
  • PIPETX2DATA1=1
  • PIPETX2DATA10=1
  • PIPETX2DATA11=1
  • PIPETX2DATA12=1
  • PIPETX2DATA13=1
  • PIPETX2DATA14=1
  • PIPETX2DATA15=1
  • PIPETX2DATA2=1
  • PIPETX2DATA3=1
  • PIPETX2DATA4=1
  • PIPETX2DATA5=1
  • PIPETX2DATA6=1
  • PIPETX2DATA7=1
  • PIPETX2DATA8=1
  • PIPETX2DATA9=1
  • PIPETX2ELECIDLE=1
  • PIPETX2POWERDOWN0=1
  • PIPETX2POWERDOWN1=1
  • PIPETX3CHARISK0=1
  • PIPETX3CHARISK1=1
  • PIPETX3COMPLIANCE=1
  • PIPETX3DATA0=1
  • PIPETX3DATA1=1
  • PIPETX3DATA10=1
  • PIPETX3DATA11=1
  • PIPETX3DATA12=1
  • PIPETX3DATA13=1
  • PIPETX3DATA14=1
  • PIPETX3DATA15=1
  • PIPETX3DATA2=1
  • PIPETX3DATA3=1
  • PIPETX3DATA4=1
  • PIPETX3DATA5=1
  • PIPETX3DATA6=1
  • PIPETX3DATA7=1
  • PIPETX3DATA8=1
  • PIPETX3DATA9=1
  • PIPETX3ELECIDLE=1
  • PIPETX3POWERDOWN0=1
  • PIPETX3POWERDOWN1=1
  • PIPETX4CHARISK0=1
  • PIPETX4CHARISK1=1
  • PIPETX4COMPLIANCE=1
  • PIPETX4DATA0=1
  • PIPETX4DATA1=1
  • PIPETX4DATA10=1
  • PIPETX4DATA11=1
  • PIPETX4DATA12=1
  • PIPETX4DATA13=1
  • PIPETX4DATA14=1
  • PIPETX4DATA15=1
  • PIPETX4DATA2=1
  • PIPETX4DATA3=1
  • PIPETX4DATA4=1
  • PIPETX4DATA5=1
  • PIPETX4DATA6=1
  • PIPETX4DATA7=1
  • PIPETX4DATA8=1
  • PIPETX4DATA9=1
  • PIPETX4ELECIDLE=1
  • PIPETX4POWERDOWN0=1
  • PIPETX4POWERDOWN1=1
  • PIPETX5CHARISK0=1
  • PIPETX5CHARISK1=1
  • PIPETX5COMPLIANCE=1
  • PIPETX5DATA0=1
  • PIPETX5DATA1=1
  • PIPETX5DATA10=1
  • PIPETX5DATA11=1
  • PIPETX5DATA12=1
  • PIPETX5DATA13=1
  • PIPETX5DATA14=1
  • PIPETX5DATA15=1
  • PIPETX5DATA2=1
  • PIPETX5DATA3=1
  • PIPETX5DATA4=1
  • PIPETX5DATA5=1
  • PIPETX5DATA6=1
  • PIPETX5DATA7=1
  • PIPETX5DATA8=1
  • PIPETX5DATA9=1
  • PIPETX5ELECIDLE=1
  • PIPETX5POWERDOWN0=1
  • PIPETX5POWERDOWN1=1
  • PIPETX6CHARISK0=1
  • PIPETX6CHARISK1=1
  • PIPETX6COMPLIANCE=1
  • PIPETX6DATA0=1
  • PIPETX6DATA1=1
  • PIPETX6DATA10=1
  • PIPETX6DATA11=1
  • PIPETX6DATA12=1
  • PIPETX6DATA13=1
  • PIPETX6DATA14=1
  • PIPETX6DATA15=1
  • PIPETX6DATA2=1
  • PIPETX6DATA3=1
  • PIPETX6DATA4=1
  • PIPETX6DATA5=1
  • PIPETX6DATA6=1
  • PIPETX6DATA7=1
  • PIPETX6DATA8=1
  • PIPETX6DATA9=1
  • PIPETX6ELECIDLE=1
  • PIPETX6POWERDOWN0=1
  • PIPETX6POWERDOWN1=1
  • PIPETX7CHARISK0=1
  • PIPETX7CHARISK1=1
  • PIPETX7COMPLIANCE=1
  • PIPETX7DATA0=1
  • PIPETX7DATA1=1
  • PIPETX7DATA10=1
  • PIPETX7DATA11=1
  • PIPETX7DATA12=1
  • PIPETX7DATA13=1
  • PIPETX7DATA14=1
  • PIPETX7DATA15=1
  • PIPETX7DATA2=1
  • PIPETX7DATA3=1
  • PIPETX7DATA4=1
  • PIPETX7DATA5=1
  • PIPETX7DATA6=1
  • PIPETX7DATA7=1
  • PIPETX7DATA8=1
  • PIPETX7DATA9=1
  • PIPETX7ELECIDLE=1
  • PIPETX7POWERDOWN0=1
  • PIPETX7POWERDOWN1=1
  • PIPETXDEEMPH=1
  • PIPETXMARGIN0=1
  • PIPETXMARGIN1=1
  • PIPETXMARGIN2=1
  • PIPETXRATE=1
  • PIPETXRCVRDET=1
  • PL2DIRECTEDLSTATE0=1
  • PL2DIRECTEDLSTATE1=1
  • PL2DIRECTEDLSTATE2=1
  • PL2DIRECTEDLSTATE3=1
  • PL2DIRECTEDLSTATE4=1
  • PLDBGMODE0=1
  • PLDBGMODE1=1
  • PLDBGMODE2=1
  • PLDIRECTEDLINKAUTON=1
  • PLDIRECTEDLINKCHANGE0=1
  • PLDIRECTEDLINKCHANGE1=1
  • PLDIRECTEDLINKSPEED=1
  • PLDIRECTEDLINKWIDTH0=1
  • PLDIRECTEDLINKWIDTH1=1
  • PLDIRECTEDLTSSMNEW0=1
  • PLDIRECTEDLTSSMNEW1=1
  • PLDIRECTEDLTSSMNEW2=1
  • PLDIRECTEDLTSSMNEW3=1
  • PLDIRECTEDLTSSMNEW4=1
  • PLDIRECTEDLTSSMNEW5=1
  • PLDIRECTEDLTSSMNEWVLD=1
  • PLDIRECTEDLTSSMSTALL=1
  • PLDOWNSTREAMDEEMPHSOURCE=1
  • PLINITIALLINKWIDTH0=1
  • PLINITIALLINKWIDTH1=1
  • PLINITIALLINKWIDTH2=1
  • PLLANEREVERSALMODE0=1
  • PLLANEREVERSALMODE1=1
  • PLLINKGEN2CAP=1
  • PLLINKPARTNERGEN2SUPPORTED=1
  • PLLINKUPCFGCAP=1
  • PLLTSSMSTATE0=1
  • PLLTSSMSTATE1=1
  • PLLTSSMSTATE2=1
  • PLLTSSMSTATE3=1
  • PLLTSSMSTATE4=1
  • PLLTSSMSTATE5=1
  • PLPHYLNKUPN=1
  • PLRECEIVEDHOTRST=1
  • PLRSTN=1
  • PLSELLNKRATE=1
  • PLSELLNKWIDTH0=1
  • PLSELLNKWIDTH1=1
  • PLTRANSMITHOTRST=1
  • PLUPSTREAMPREFERDEEMPH=1
  • SYSRSTN=1
  • TL2ASPMSUSPENDCREDITCHECK=1
  • TL2PPMSUSPENDREQ=1
  • TLRSTN=1
  • TRNFCSEL0=1
  • TRNFCSEL1=1
  • TRNFCSEL2=1
  • TRNLNKUP=1
  • TRNRBARHIT0=1
  • TRNRBARHIT1=1
  • TRNRBARHIT2=1
  • TRNRBARHIT3=1
  • TRNRBARHIT4=1
  • TRNRBARHIT5=1
  • TRNRBARHIT6=1
  • TRNRD0=1
  • TRNRD1=1
  • TRNRD10=1
  • TRNRD100=1
  • TRNRD101=1
  • TRNRD102=1
  • TRNRD103=1
  • TRNRD104=1
  • TRNRD105=1
  • TRNRD106=1
  • TRNRD107=1
  • TRNRD108=1
  • TRNRD109=1
  • TRNRD11=1
  • TRNRD110=1
  • TRNRD111=1
  • TRNRD112=1
  • TRNRD113=1
  • TRNRD114=1
  • TRNRD115=1
  • TRNRD116=1
  • TRNRD117=1
  • TRNRD118=1
  • TRNRD119=1
  • TRNRD12=1
  • TRNRD120=1
  • TRNRD121=1
  • TRNRD122=1
  • TRNRD123=1
  • TRNRD124=1
  • TRNRD125=1
  • TRNRD126=1
  • TRNRD127=1
  • TRNRD13=1
  • TRNRD14=1
  • TRNRD15=1
  • TRNRD16=1
  • TRNRD17=1
  • TRNRD18=1
  • TRNRD19=1
  • TRNRD2=1
  • TRNRD20=1
  • TRNRD21=1
  • TRNRD22=1
  • TRNRD23=1
  • TRNRD24=1
  • TRNRD25=1
  • TRNRD26=1
  • TRNRD27=1
  • TRNRD28=1
  • TRNRD29=1
  • TRNRD3=1
  • TRNRD30=1
  • TRNRD31=1
  • TRNRD32=1
  • TRNRD33=1
  • TRNRD34=1
  • TRNRD35=1
  • TRNRD36=1
  • TRNRD37=1
  • TRNRD38=1
  • TRNRD39=1
  • TRNRD4=1
  • TRNRD40=1
  • TRNRD41=1
  • TRNRD42=1
  • TRNRD43=1
  • TRNRD44=1
  • TRNRD45=1
  • TRNRD46=1
  • TRNRD47=1
  • TRNRD48=1
  • TRNRD49=1
  • TRNRD5=1
  • TRNRD50=1
  • TRNRD51=1
  • TRNRD52=1
  • TRNRD53=1
  • TRNRD54=1
  • TRNRD55=1
  • TRNRD56=1
  • TRNRD57=1
  • TRNRD58=1
  • TRNRD59=1
  • TRNRD6=1
  • TRNRD60=1
  • TRNRD61=1
  • TRNRD62=1
  • TRNRD63=1
  • TRNRD64=1
  • TRNRD65=1
  • TRNRD66=1
  • TRNRD67=1
  • TRNRD68=1
  • TRNRD69=1
  • TRNRD7=1
  • TRNRD70=1
  • TRNRD71=1
  • TRNRD72=1
  • TRNRD73=1
  • TRNRD74=1
  • TRNRD75=1
  • TRNRD76=1
  • TRNRD77=1
  • TRNRD78=1
  • TRNRD79=1
  • TRNRD8=1
  • TRNRD80=1
  • TRNRD81=1
  • TRNRD82=1
  • TRNRD83=1
  • TRNRD84=1
  • TRNRD85=1
  • TRNRD86=1
  • TRNRD87=1
  • TRNRD88=1
  • TRNRD89=1
  • TRNRD9=1
  • TRNRD90=1
  • TRNRD91=1
  • TRNRD92=1
  • TRNRD93=1
  • TRNRD94=1
  • TRNRD95=1
  • TRNRD96=1
  • TRNRD97=1
  • TRNRD98=1
  • TRNRD99=1
  • TRNRDSTRDY=1
  • TRNRECRCERR=1
  • TRNREOF=1
  • TRNRERRFWD=1
  • TRNRFCPRET=1
  • TRNRNPOK=1
  • TRNRNPREQ=1
  • TRNRREM0=1
  • TRNRREM1=1
  • TRNRSOF=1
  • TRNRSRCDSC=1
  • TRNRSRCRDY=1
  • TRNTBUFAV0=1
  • TRNTBUFAV1=1
  • TRNTBUFAV2=1
  • TRNTBUFAV3=1
  • TRNTBUFAV4=1
  • TRNTBUFAV5=1
  • TRNTCFGGNT=1
  • TRNTCFGREQ=1
  • TRNTD0=1
  • TRNTD1=1
  • TRNTD10=1
  • TRNTD100=1
  • TRNTD101=1
  • TRNTD102=1
  • TRNTD103=1
  • TRNTD104=1
  • TRNTD105=1
  • TRNTD106=1
  • TRNTD107=1
  • TRNTD108=1
  • TRNTD109=1
  • TRNTD11=1
  • TRNTD110=1
  • TRNTD111=1
  • TRNTD112=1
  • TRNTD113=1
  • TRNTD114=1
  • TRNTD115=1
  • TRNTD116=1
  • TRNTD117=1
  • TRNTD118=1
  • TRNTD119=1
  • TRNTD12=1
  • TRNTD120=1
  • TRNTD121=1
  • TRNTD122=1
  • TRNTD123=1
  • TRNTD124=1
  • TRNTD125=1
  • TRNTD126=1
  • TRNTD127=1
  • TRNTD13=1
  • TRNTD14=1
  • TRNTD15=1
  • TRNTD16=1
  • TRNTD17=1
  • TRNTD18=1
  • TRNTD19=1
  • TRNTD2=1
  • TRNTD20=1
  • TRNTD21=1
  • TRNTD22=1
  • TRNTD23=1
  • TRNTD24=1
  • TRNTD25=1
  • TRNTD26=1
  • TRNTD27=1
  • TRNTD28=1
  • TRNTD29=1
  • TRNTD3=1
  • TRNTD30=1
  • TRNTD31=1
  • TRNTD32=1
  • TRNTD33=1
  • TRNTD34=1
  • TRNTD35=1
  • TRNTD36=1
  • TRNTD37=1
  • TRNTD38=1
  • TRNTD39=1
  • TRNTD4=1
  • TRNTD40=1
  • TRNTD41=1
  • TRNTD42=1
  • TRNTD43=1
  • TRNTD44=1
  • TRNTD45=1
  • TRNTD46=1
  • TRNTD47=1
  • TRNTD48=1
  • TRNTD49=1
  • TRNTD5=1
  • TRNTD50=1
  • TRNTD51=1
  • TRNTD52=1
  • TRNTD53=1
  • TRNTD54=1
  • TRNTD55=1
  • TRNTD56=1
  • TRNTD57=1
  • TRNTD58=1
  • TRNTD59=1
  • TRNTD6=1
  • TRNTD60=1
  • TRNTD61=1
  • TRNTD62=1
  • TRNTD63=1
  • TRNTD64=1
  • TRNTD65=1
  • TRNTD66=1
  • TRNTD67=1
  • TRNTD68=1
  • TRNTD69=1
  • TRNTD7=1
  • TRNTD70=1
  • TRNTD71=1
  • TRNTD72=1
  • TRNTD73=1
  • TRNTD74=1
  • TRNTD75=1
  • TRNTD76=1
  • TRNTD77=1
  • TRNTD78=1
  • TRNTD79=1
  • TRNTD8=1
  • TRNTD80=1
  • TRNTD81=1
  • TRNTD82=1
  • TRNTD83=1
  • TRNTD84=1
  • TRNTD85=1
  • TRNTD86=1
  • TRNTD87=1
  • TRNTD88=1
  • TRNTD89=1
  • TRNTD9=1
  • TRNTD90=1
  • TRNTD91=1
  • TRNTD92=1
  • TRNTD93=1
  • TRNTD94=1
  • TRNTD95=1
  • TRNTD96=1
  • TRNTD97=1
  • TRNTD98=1
  • TRNTD99=1
  • TRNTDLLPDATA0=1
  • TRNTDLLPDATA1=1
  • TRNTDLLPDATA10=1
  • TRNTDLLPDATA11=1
  • TRNTDLLPDATA12=1
  • TRNTDLLPDATA13=1
  • TRNTDLLPDATA14=1
  • TRNTDLLPDATA15=1
  • TRNTDLLPDATA16=1
  • TRNTDLLPDATA17=1
  • TRNTDLLPDATA18=1
  • TRNTDLLPDATA19=1
  • TRNTDLLPDATA2=1
  • TRNTDLLPDATA20=1
  • TRNTDLLPDATA21=1
  • TRNTDLLPDATA22=1
  • TRNTDLLPDATA23=1
  • TRNTDLLPDATA24=1
  • TRNTDLLPDATA25=1
  • TRNTDLLPDATA26=1
  • TRNTDLLPDATA27=1
  • TRNTDLLPDATA28=1
  • TRNTDLLPDATA29=1
  • TRNTDLLPDATA3=1
  • TRNTDLLPDATA30=1
  • TRNTDLLPDATA31=1
  • TRNTDLLPDATA4=1
  • TRNTDLLPDATA5=1
  • TRNTDLLPDATA6=1
  • TRNTDLLPDATA7=1
  • TRNTDLLPDATA8=1
  • TRNTDLLPDATA9=1
  • TRNTDLLPSRCRDY=1
  • TRNTDSTRDY0=1
  • TRNTECRCGEN=1
  • TRNTEOF=1
  • TRNTERRFWD=1
  • TRNTREM0=1
  • TRNTREM1=1
  • TRNTSOF=1
  • TRNTSRCDSC=1
  • TRNTSRCRDY=1
  • TRNTSTR=1
  • USERCLK=1
  • USERCLK2=1
  • USERRSTN=1
PHASER_IN_PHY
  • BURSTPENDINGPHY=8
  • COUNTERLOADEN=8
  • COUNTERLOADVAL0=8
  • COUNTERLOADVAL1=8
  • COUNTERLOADVAL2=8
  • COUNTERLOADVAL3=8
  • COUNTERLOADVAL4=8
  • COUNTERLOADVAL5=8
  • COUNTERREADEN=8
  • COUNTERREADVAL0=8
  • COUNTERREADVAL1=8
  • COUNTERREADVAL2=8
  • COUNTERREADVAL3=8
  • COUNTERREADVAL4=8
  • COUNTERREADVAL5=8
  • DIVIDERST=8
  • DQSFOUND=8
  • EDGEADV=8
  • ENCALIBPHY0=8
  • ENCALIBPHY1=8
  • FINEENABLE=8
  • FINEINC=8
  • FREQREFCLK=8
  • ICLK=8
  • ICLKDIV=8
  • ISERDESRST=8
  • MEMREFCLK=8
  • PHASELOCKED=8
  • PHASEREFCLK=8
  • RANKSEL0=8
  • RANKSEL1=8
  • RANKSELPHY0=8
  • RANKSELPHY1=8
  • RST=8
  • RSTDQSFIND=8
  • SYNCIN=8
  • SYSCLK=8
  • WRENABLE=8
PHASER_IN_PHY_PHASER_IN_PHY
  • BURSTPENDINGPHY=8
  • COUNTERLOADEN=8
  • COUNTERLOADVAL0=8
  • COUNTERLOADVAL1=8
  • COUNTERLOADVAL2=8
  • COUNTERLOADVAL3=8
  • COUNTERLOADVAL4=8
  • COUNTERLOADVAL5=8
  • COUNTERREADEN=8
  • COUNTERREADVAL0=8
  • COUNTERREADVAL1=8
  • COUNTERREADVAL2=8
  • COUNTERREADVAL3=8
  • COUNTERREADVAL4=8
  • COUNTERREADVAL5=8
  • DIVIDERST=8
  • DQSFOUND=8
  • EDGEADV=8
  • ENCALIBPHY0=8
  • ENCALIBPHY1=8
  • FINEENABLE=8
  • FINEINC=8
  • FREQREFCLK=8
  • ICLK=8
  • ICLKDIV=8
  • ISERDESRST=8
  • MEMREFCLK=8
  • PHASELOCKED=8
  • PHASEREFCLK=8
  • RANKSEL0=8
  • RANKSEL1=8
  • RANKSELPHY0=8
  • RANKSELPHY1=8
  • RST=8
  • RSTDQSFIND=8
  • SYNCIN=8
  • SYSCLK=8
  • WRENABLE=8
PHASER_OUT_PHY
  • BURSTPENDINGPHY=11
  • COARSEENABLE=11
  • COARSEINC=11
  • COUNTERLOADEN=11
  • COUNTERLOADVAL0=11
  • COUNTERLOADVAL1=11
  • COUNTERLOADVAL2=11
  • COUNTERLOADVAL3=11
  • COUNTERLOADVAL4=11
  • COUNTERLOADVAL5=11
  • COUNTERLOADVAL6=11
  • COUNTERLOADVAL7=11
  • COUNTERLOADVAL8=11
  • COUNTERREADEN=11
  • COUNTERREADVAL0=11
  • COUNTERREADVAL1=11
  • COUNTERREADVAL2=11
  • COUNTERREADVAL3=11
  • COUNTERREADVAL4=11
  • COUNTERREADVAL5=11
  • COUNTERREADVAL6=11
  • COUNTERREADVAL7=11
  • COUNTERREADVAL8=11
  • CTSBUS0=8
  • DIVIDERST=11
  • DQSBUS0=8
  • DQSBUS1=8
  • DTSBUS0=8
  • DTSBUS1=8
  • EDGEADV=11
  • ENCALIBPHY0=11
  • ENCALIBPHY1=11
  • FINEENABLE=11
  • FINEINC=11
  • FREQREFCLK=11
  • MEMREFCLK=11
  • OCLK=11
  • OCLKDELAYED=8
  • OCLKDIV=11
  • OSERDESRST=11
  • RDENABLE=11
  • RST=11
  • SELFINEOCLKDELAY=11
  • SYNCIN=11
  • SYSCLK=11
PHASER_OUT_PHY_PHASER_OUT_PHY
  • BURSTPENDINGPHY=11
  • COARSEENABLE=11
  • COARSEINC=11
  • COUNTERLOADEN=11
  • COUNTERLOADVAL0=11
  • COUNTERLOADVAL1=11
  • COUNTERLOADVAL2=11
  • COUNTERLOADVAL3=11
  • COUNTERLOADVAL4=11
  • COUNTERLOADVAL5=11
  • COUNTERLOADVAL6=11
  • COUNTERLOADVAL7=11
  • COUNTERLOADVAL8=11
  • COUNTERREADEN=11
  • COUNTERREADVAL0=11
  • COUNTERREADVAL1=11
  • COUNTERREADVAL2=11
  • COUNTERREADVAL3=11
  • COUNTERREADVAL4=11
  • COUNTERREADVAL5=11
  • COUNTERREADVAL6=11
  • COUNTERREADVAL7=11
  • COUNTERREADVAL8=11
  • CTSBUS0=8
  • DIVIDERST=11
  • DQSBUS0=8
  • DQSBUS1=8
  • DTSBUS0=8
  • DTSBUS1=8
  • EDGEADV=11
  • ENCALIBPHY0=11
  • ENCALIBPHY1=11
  • FINEENABLE=11
  • FINEINC=11
  • FREQREFCLK=11
  • MEMREFCLK=11
  • OCLK=11
  • OCLKDELAYED=8
  • OCLKDIV=11
  • OSERDESRST=11
  • RDENABLE=11
  • RST=11
  • SELFINEOCLKDELAY=11
  • SYNCIN=11
  • SYSCLK=11
PHASER_REF
  • CLKIN=3
  • LOCKED=3
  • PWRDWN=3
  • RST=3
PHASER_REF_PHASER_REF
  • CLKIN=3
  • LOCKED=3
  • PWRDWN=3
  • RST=3
PHY_CONTROL
  • INBURSTPENDING0=2
  • INBURSTPENDING1=2
  • INBURSTPENDING2=2
  • INBURSTPENDING3=2
  • INRANKA0=2
  • INRANKA1=2
  • INRANKB0=2
  • INRANKB1=2
  • INRANKC0=2
  • INRANKC1=2
  • INRANKD0=2
  • INRANKD1=2
  • MEMREFCLK=3
  • OUTBURSTPENDING0=3
  • OUTBURSTPENDING1=3
  • OUTBURSTPENDING2=3
  • OUTBURSTPENDING3=2
  • PCENABLECALIB0=3
  • PCENABLECALIB1=3
  • PHYCLK=3
  • PHYCTLEMPTY=1
  • PHYCTLMSTREMPTY=3
  • PHYCTLREADY=3
  • PHYCTLWD0=3
  • PHYCTLWD1=3
  • PHYCTLWD10=3
  • PHYCTLWD11=3
  • PHYCTLWD12=3
  • PHYCTLWD13=3
  • PHYCTLWD14=3
  • PHYCTLWD15=3
  • PHYCTLWD16=3
  • PHYCTLWD17=3
  • PHYCTLWD18=3
  • PHYCTLWD19=3
  • PHYCTLWD2=3
  • PHYCTLWD20=3
  • PHYCTLWD21=3
  • PHYCTLWD22=3
  • PHYCTLWD23=3
  • PHYCTLWD24=3
  • PHYCTLWD25=3
  • PHYCTLWD26=3
  • PHYCTLWD27=3
  • PHYCTLWD28=3
  • PHYCTLWD29=3
  • PHYCTLWD3=3
  • PHYCTLWD30=3
  • PHYCTLWD31=3
  • PHYCTLWD4=3
  • PHYCTLWD5=3
  • PHYCTLWD6=3
  • PHYCTLWD7=3
  • PHYCTLWD8=3
  • PHYCTLWD9=3
  • PHYCTLWRENABLE=3
  • PLLLOCK=3
  • READCALIBENABLE=3
  • REFDLLLOCK=3
  • RESET=3
  • SYNCIN=3
  • WRITECALIBENABLE=3
PHY_CONTROL_PHY_CONTROL
  • INBURSTPENDING0=2
  • INBURSTPENDING1=2
  • INBURSTPENDING2=2
  • INBURSTPENDING3=2
  • INRANKA0=2
  • INRANKA1=2
  • INRANKB0=2
  • INRANKB1=2
  • INRANKC0=2
  • INRANKC1=2
  • INRANKD0=2
  • INRANKD1=2
  • MEMREFCLK=3
  • OUTBURSTPENDING0=3
  • OUTBURSTPENDING1=3
  • OUTBURSTPENDING2=3
  • OUTBURSTPENDING3=2
  • PCENABLECALIB0=3
  • PCENABLECALIB1=3
  • PHYCLK=3
  • PHYCTLEMPTY=1
  • PHYCTLMSTREMPTY=3
  • PHYCTLREADY=3
  • PHYCTLWD0=3
  • PHYCTLWD1=3
  • PHYCTLWD10=3
  • PHYCTLWD11=3
  • PHYCTLWD12=3
  • PHYCTLWD13=3
  • PHYCTLWD14=3
  • PHYCTLWD15=3
  • PHYCTLWD16=3
  • PHYCTLWD17=3
  • PHYCTLWD18=3
  • PHYCTLWD19=3
  • PHYCTLWD2=3
  • PHYCTLWD20=3
  • PHYCTLWD21=3
  • PHYCTLWD22=3
  • PHYCTLWD23=3
  • PHYCTLWD24=3
  • PHYCTLWD25=3
  • PHYCTLWD26=3
  • PHYCTLWD27=3
  • PHYCTLWD28=3
  • PHYCTLWD29=3
  • PHYCTLWD3=3
  • PHYCTLWD30=3
  • PHYCTLWD31=3
  • PHYCTLWD4=3
  • PHYCTLWD5=3
  • PHYCTLWD6=3
  • PHYCTLWD7=3
  • PHYCTLWD8=3
  • PHYCTLWD9=3
  • PHYCTLWRENABLE=3
  • PLLLOCK=3
  • READCALIBENABLE=3
  • REFDLLLOCK=3
  • RESET=3
  • SYNCIN=3
  • WRITECALIBENABLE=3
PLLE2_ADV
  • CLKFBIN=1
  • CLKFBOUT=1
  • CLKIN1=1
  • CLKIN2=1
  • CLKINSEL=1
  • CLKOUT0=1
  • CLKOUT1=1
  • CLKOUT2=1
  • CLKOUT3=1
  • DADDR0=1
  • DADDR1=1
  • DADDR2=1
  • DADDR3=1
  • DADDR4=1
  • DADDR5=1
  • DADDR6=1
  • DCLK=1
  • DEN=1
  • DI0=1
  • DI1=1
  • DI10=1
  • DI11=1
  • DI12=1
  • DI13=1
  • DI14=1
  • DI15=1
  • DI2=1
  • DI3=1
  • DI4=1
  • DI5=1
  • DI6=1
  • DI7=1
  • DI8=1
  • DI9=1
  • DWE=1
  • LOCKED=1
  • PWRDWN=1
  • RST=1
PLLE2_ADV_PLLE2_ADV
  • CLKFBIN=1
  • CLKFBOUT=1
  • CLKIN1=1
  • CLKIN2=1
  • CLKINSEL=1
  • CLKOUT0=1
  • CLKOUT1=1
  • CLKOUT2=1
  • CLKOUT3=1
  • DADDR0=1
  • DADDR1=1
  • DADDR2=1
  • DADDR3=1
  • DADDR4=1
  • DADDR5=1
  • DADDR6=1
  • DCLK=1
  • DEN=1
  • DI0=1
  • DI1=1
  • DI10=1
  • DI11=1
  • DI12=1
  • DI13=1
  • DI14=1
  • DI15=1
  • DI2=1
  • DI3=1
  • DI4=1
  • DI5=1
  • DI6=1
  • DI7=1
  • DI8=1
  • DI9=1
  • DWE=1
  • LOCKED=1
  • PWRDWN=1
  • RST=1
RAMB18E1
  • ADDRARDADDR0=8
  • ADDRARDADDR1=8
  • ADDRARDADDR10=8
  • ADDRARDADDR11=8
  • ADDRARDADDR12=8
  • ADDRARDADDR13=8
  • ADDRARDADDR2=8
  • ADDRARDADDR3=8
  • ADDRARDADDR4=8
  • ADDRARDADDR5=8
  • ADDRARDADDR6=8
  • ADDRARDADDR7=8
  • ADDRARDADDR8=8
  • ADDRARDADDR9=8
  • ADDRATIEHIGH0=8
  • ADDRATIEHIGH1=8
  • ADDRBTIEHIGH0=8
  • ADDRBTIEHIGH1=8
  • ADDRBWRADDR0=8
  • ADDRBWRADDR1=8
  • ADDRBWRADDR10=8
  • ADDRBWRADDR11=8
  • ADDRBWRADDR12=8
  • ADDRBWRADDR13=8
  • ADDRBWRADDR2=8
  • ADDRBWRADDR3=8
  • ADDRBWRADDR4=8
  • ADDRBWRADDR5=8
  • ADDRBWRADDR6=8
  • ADDRBWRADDR7=8
  • ADDRBWRADDR8=8
  • ADDRBWRADDR9=8
  • CLKARDCLK=8
  • CLKBWRCLK=8
  • DIADI0=8
  • DIADI1=8
  • DIADI10=4
  • DIADI11=4
  • DIADI12=4
  • DIADI13=4
  • DIADI14=4
  • DIADI15=4
  • DIADI2=8
  • DIADI3=8
  • DIADI4=8
  • DIADI5=8
  • DIADI6=8
  • DIADI7=8
  • DIADI8=4
  • DIADI9=4
  • DIBDI0=4
  • DIBDI1=4
  • DIBDI10=4
  • DIBDI11=4
  • DIBDI12=4
  • DIBDI13=4
  • DIBDI14=4
  • DIBDI15=4
  • DIBDI2=4
  • DIBDI3=4
  • DIBDI4=4
  • DIBDI5=4
  • DIBDI6=4
  • DIBDI7=4
  • DIBDI8=4
  • DIBDI9=4
  • DIPADIP0=4
  • DOADO10=4
  • DOADO11=4
  • DOADO12=4
  • DOADO13=4
  • DOADO14=4
  • DOADO15=4
  • DOADO6=4
  • DOADO7=4
  • DOADO8=4
  • DOADO9=4
  • DOBDO0=8
  • DOBDO1=8
  • DOBDO10=4
  • DOBDO11=4
  • DOBDO12=4
  • DOBDO13=4
  • DOBDO2=8
  • DOBDO3=8
  • DOBDO4=8
  • DOBDO5=8
  • DOBDO6=8
  • DOBDO7=8
  • DOBDO8=4
  • DOBDO9=4
  • ENARDEN=8
  • ENBWREN=8
  • REGCEAREGCE=8
  • REGCEB=8
  • REGCLKARDRCLK=8
  • REGCLKB=8
  • RSTRAMARSTRAM=8
  • RSTRAMB=8
  • RSTREGARSTREG=8
  • RSTREGB=8
  • WEA0=8
  • WEA1=8
  • WEA2=8
  • WEA3=8
  • WEBWE0=8
  • WEBWE1=8
  • WEBWE2=8
  • WEBWE3=8
  • WEBWE4=8
  • WEBWE5=8
  • WEBWE6=8
  • WEBWE7=8
RAMB18E1_RAMB18E1
  • ADDRARDADDR0=8
  • ADDRARDADDR1=8
  • ADDRARDADDR10=8
  • ADDRARDADDR11=8
  • ADDRARDADDR12=8
  • ADDRARDADDR13=8
  • ADDRARDADDR2=8
  • ADDRARDADDR3=8
  • ADDRARDADDR4=8
  • ADDRARDADDR5=8
  • ADDRARDADDR6=8
  • ADDRARDADDR7=8
  • ADDRARDADDR8=8
  • ADDRARDADDR9=8
  • ADDRATIEHIGH0=8
  • ADDRATIEHIGH1=8
  • ADDRBTIEHIGH0=8
  • ADDRBTIEHIGH1=8
  • ADDRBWRADDR0=8
  • ADDRBWRADDR1=8
  • ADDRBWRADDR10=8
  • ADDRBWRADDR11=8
  • ADDRBWRADDR12=8
  • ADDRBWRADDR13=8
  • ADDRBWRADDR2=8
  • ADDRBWRADDR3=8
  • ADDRBWRADDR4=8
  • ADDRBWRADDR5=8
  • ADDRBWRADDR6=8
  • ADDRBWRADDR7=8
  • ADDRBWRADDR8=8
  • ADDRBWRADDR9=8
  • CLKARDCLK=8
  • CLKBWRCLK=8
  • DIADI0=8
  • DIADI1=8
  • DIADI10=4
  • DIADI11=4
  • DIADI12=4
  • DIADI13=4
  • DIADI14=4
  • DIADI15=4
  • DIADI2=8
  • DIADI3=8
  • DIADI4=8
  • DIADI5=8
  • DIADI6=8
  • DIADI7=8
  • DIADI8=4
  • DIADI9=4
  • DIBDI0=4
  • DIBDI1=4
  • DIBDI10=4
  • DIBDI11=4
  • DIBDI12=4
  • DIBDI13=4
  • DIBDI14=4
  • DIBDI15=4
  • DIBDI2=4
  • DIBDI3=4
  • DIBDI4=4
  • DIBDI5=4
  • DIBDI6=4
  • DIBDI7=4
  • DIBDI8=4
  • DIBDI9=4
  • DIPADIP0=4
  • DOADO10=4
  • DOADO11=4
  • DOADO12=4
  • DOADO13=4
  • DOADO14=4
  • DOADO15=4
  • DOADO6=4
  • DOADO7=4
  • DOADO8=4
  • DOADO9=4
  • DOBDO0=8
  • DOBDO1=8
  • DOBDO10=4
  • DOBDO11=4
  • DOBDO12=4
  • DOBDO13=4
  • DOBDO2=8
  • DOBDO3=8
  • DOBDO4=8
  • DOBDO5=8
  • DOBDO6=8
  • DOBDO7=8
  • DOBDO8=4
  • DOBDO9=4
  • ENARDEN=8
  • ENBWREN=8
  • REGCEAREGCE=8
  • REGCEB=8
  • REGCLKARDRCLK=8
  • REGCLKB=8
  • RSTRAMARSTRAM=8
  • RSTRAMB=8
  • RSTREGARSTREG=8
  • RSTREGB=8
  • WEA0=8
  • WEA1=8
  • WEA2=8
  • WEA3=8
  • WEBWE0=8
  • WEBWE1=8
  • WEBWE2=8
  • WEBWE3=8
  • WEBWE4=8
  • WEBWE5=8
  • WEBWE6=8
  • WEBWE7=8
RAMB36E1
  • ADDRARDADDRL0=32
  • ADDRARDADDRL1=32
  • ADDRARDADDRL10=32
  • ADDRARDADDRL11=32
  • ADDRARDADDRL12=32
  • ADDRARDADDRL13=32
  • ADDRARDADDRL14=32
  • ADDRARDADDRL15=32
  • ADDRARDADDRL2=32
  • ADDRARDADDRL3=32
  • ADDRARDADDRL4=32
  • ADDRARDADDRL5=32
  • ADDRARDADDRL6=32
  • ADDRARDADDRL7=32
  • ADDRARDADDRL8=32
  • ADDRARDADDRL9=32
  • ADDRARDADDRU0=32
  • ADDRARDADDRU1=32
  • ADDRARDADDRU10=32
  • ADDRARDADDRU11=32
  • ADDRARDADDRU12=32
  • ADDRARDADDRU13=32
  • ADDRARDADDRU14=32
  • ADDRARDADDRU2=32
  • ADDRARDADDRU3=32
  • ADDRARDADDRU4=32
  • ADDRARDADDRU5=32
  • ADDRARDADDRU6=32
  • ADDRARDADDRU7=32
  • ADDRARDADDRU8=32
  • ADDRARDADDRU9=32
  • ADDRBWRADDRL0=32
  • ADDRBWRADDRL1=32
  • ADDRBWRADDRL10=32
  • ADDRBWRADDRL11=32
  • ADDRBWRADDRL12=32
  • ADDRBWRADDRL13=32
  • ADDRBWRADDRL14=32
  • ADDRBWRADDRL15=32
  • ADDRBWRADDRL2=32
  • ADDRBWRADDRL3=32
  • ADDRBWRADDRL4=32
  • ADDRBWRADDRL5=32
  • ADDRBWRADDRL6=32
  • ADDRBWRADDRL7=32
  • ADDRBWRADDRL8=32
  • ADDRBWRADDRL9=32
  • ADDRBWRADDRU0=32
  • ADDRBWRADDRU1=32
  • ADDRBWRADDRU10=32
  • ADDRBWRADDRU11=32
  • ADDRBWRADDRU12=32
  • ADDRBWRADDRU13=32
  • ADDRBWRADDRU14=32
  • ADDRBWRADDRU2=32
  • ADDRBWRADDRU3=32
  • ADDRBWRADDRU4=32
  • ADDRBWRADDRU5=32
  • ADDRBWRADDRU6=32
  • ADDRBWRADDRU7=32
  • ADDRBWRADDRU8=32
  • ADDRBWRADDRU9=32
  • CLKARDCLKL=32
  • CLKARDCLKU=32
  • CLKBWRCLKL=32
  • CLKBWRCLKU=32
  • DIADI0=32
  • DIADI1=32
  • DIADI10=32
  • DIADI11=32
  • DIADI12=32
  • DIADI13=32
  • DIADI14=32
  • DIADI15=32
  • DIADI16=32
  • DIADI17=32
  • DIADI18=32
  • DIADI19=32
  • DIADI2=32
  • DIADI20=32
  • DIADI21=32
  • DIADI22=32
  • DIADI23=32
  • DIADI24=32
  • DIADI25=32
  • DIADI26=32
  • DIADI27=32
  • DIADI28=32
  • DIADI29=32
  • DIADI3=32
  • DIADI30=32
  • DIADI31=32
  • DIADI4=32
  • DIADI5=32
  • DIADI6=32
  • DIADI7=32
  • DIADI8=32
  • DIADI9=32
  • DIBDI0=32
  • DIBDI1=32
  • DIBDI10=32
  • DIBDI11=32
  • DIBDI12=32
  • DIBDI13=32
  • DIBDI14=32
  • DIBDI15=32
  • DIBDI16=32
  • DIBDI17=32
  • DIBDI18=32
  • DIBDI19=32
  • DIBDI2=32
  • DIBDI20=32
  • DIBDI21=32
  • DIBDI22=32
  • DIBDI23=32
  • DIBDI24=32
  • DIBDI25=32
  • DIBDI26=32
  • DIBDI27=32
  • DIBDI28=32
  • DIBDI29=32
  • DIBDI3=32
  • DIBDI30=32
  • DIBDI31=32
  • DIBDI4=32
  • DIBDI5=32
  • DIBDI6=32
  • DIBDI7=32
  • DIBDI8=32
  • DIBDI9=32
  • DIPADIP0=32
  • DIPADIP1=32
  • DIPADIP2=32
  • DIPADIP3=32
  • DIPBDIP0=32
  • DIPBDIP1=32
  • DIPBDIP2=32
  • DIPBDIP3=32
  • DOADO0=32
  • DOADO1=32
  • DOADO10=32
  • DOADO11=32
  • DOADO12=32
  • DOADO13=32
  • DOADO14=32
  • DOADO15=32
  • DOADO16=32
  • DOADO17=32
  • DOADO18=32
  • DOADO19=32
  • DOADO2=32
  • DOADO20=32
  • DOADO21=32
  • DOADO22=32
  • DOADO23=32
  • DOADO24=32
  • DOADO25=32
  • DOADO26=32
  • DOADO27=32
  • DOADO28=32
  • DOADO29=32
  • DOADO3=32
  • DOADO30=32
  • DOADO31=32
  • DOADO4=32
  • DOADO5=32
  • DOADO6=32
  • DOADO7=32
  • DOADO8=32
  • DOADO9=32
  • DOBDO0=28
  • DOBDO1=28
  • DOBDO10=28
  • DOBDO11=28
  • DOBDO12=28
  • DOBDO13=28
  • DOBDO14=28
  • DOBDO15=28
  • DOBDO16=28
  • DOBDO17=28
  • DOBDO18=28
  • DOBDO19=28
  • DOBDO2=28
  • DOBDO20=28
  • DOBDO21=28
  • DOBDO22=28
  • DOBDO23=28
  • DOBDO24=28
  • DOBDO25=28
  • DOBDO26=28
  • DOBDO27=28
  • DOBDO28=28
  • DOBDO29=28
  • DOBDO3=28
  • DOBDO30=28
  • DOBDO31=28
  • DOBDO4=28
  • DOBDO5=28
  • DOBDO6=28
  • DOBDO7=28
  • DOBDO8=28
  • DOBDO9=28
  • DOPADOP0=31
  • DOPADOP1=30
  • DOPADOP2=30
  • DOPADOP3=30
  • DOPBDOP0=28
  • DOPBDOP1=28
  • DOPBDOP2=28
  • DOPBDOP3=28
  • ENARDENL=32
  • ENARDENU=32
  • ENBWRENL=32
  • ENBWRENU=32
  • INJECTDBITERR=4
  • INJECTSBITERR=4
  • REGCEAREGCEL=32
  • REGCEAREGCEU=32
  • REGCEBL=32
  • REGCEBU=32
  • REGCLKARDRCLKL=32
  • REGCLKARDRCLKU=32
  • REGCLKBL=32
  • REGCLKBU=32
  • RSTRAMARSTRAML=32
  • RSTRAMARSTRAMU=32
  • RSTRAMBL=32
  • RSTRAMBU=32
  • RSTREGARSTREGL=32
  • RSTREGARSTREGU=32
  • RSTREGBL=32
  • RSTREGBU=32
  • WEAL0=32
  • WEAL1=32
  • WEAL2=32
  • WEAL3=32
  • WEAU0=32
  • WEAU1=32
  • WEAU2=32
  • WEAU3=32
  • WEBWEL0=32
  • WEBWEL1=32
  • WEBWEL2=32
  • WEBWEL3=32
  • WEBWEL4=32
  • WEBWEL5=32
  • WEBWEL6=32
  • WEBWEL7=32
  • WEBWEU0=32
  • WEBWEU1=32
  • WEBWEU2=32
  • WEBWEU3=32
  • WEBWEU4=32
  • WEBWEU5=32
  • WEBWEU6=32
  • WEBWEU7=32
RAMB36E1_RAMB36E1
  • ADDRARDADDRL0=32
  • ADDRARDADDRL1=32
  • ADDRARDADDRL10=32
  • ADDRARDADDRL11=32
  • ADDRARDADDRL12=32
  • ADDRARDADDRL13=32
  • ADDRARDADDRL14=32
  • ADDRARDADDRL15=32
  • ADDRARDADDRL2=32
  • ADDRARDADDRL3=32
  • ADDRARDADDRL4=32
  • ADDRARDADDRL5=32
  • ADDRARDADDRL6=32
  • ADDRARDADDRL7=32
  • ADDRARDADDRL8=32
  • ADDRARDADDRL9=32
  • ADDRARDADDRU0=32
  • ADDRARDADDRU1=32
  • ADDRARDADDRU10=32
  • ADDRARDADDRU11=32
  • ADDRARDADDRU12=32
  • ADDRARDADDRU13=32
  • ADDRARDADDRU14=32
  • ADDRARDADDRU2=32
  • ADDRARDADDRU3=32
  • ADDRARDADDRU4=32
  • ADDRARDADDRU5=32
  • ADDRARDADDRU6=32
  • ADDRARDADDRU7=32
  • ADDRARDADDRU8=32
  • ADDRARDADDRU9=32
  • ADDRBWRADDRL0=32
  • ADDRBWRADDRL1=32
  • ADDRBWRADDRL10=32
  • ADDRBWRADDRL11=32
  • ADDRBWRADDRL12=32
  • ADDRBWRADDRL13=32
  • ADDRBWRADDRL14=32
  • ADDRBWRADDRL15=32
  • ADDRBWRADDRL2=32
  • ADDRBWRADDRL3=32
  • ADDRBWRADDRL4=32
  • ADDRBWRADDRL5=32
  • ADDRBWRADDRL6=32
  • ADDRBWRADDRL7=32
  • ADDRBWRADDRL8=32
  • ADDRBWRADDRL9=32
  • ADDRBWRADDRU0=32
  • ADDRBWRADDRU1=32
  • ADDRBWRADDRU10=32
  • ADDRBWRADDRU11=32
  • ADDRBWRADDRU12=32
  • ADDRBWRADDRU13=32
  • ADDRBWRADDRU14=32
  • ADDRBWRADDRU2=32
  • ADDRBWRADDRU3=32
  • ADDRBWRADDRU4=32
  • ADDRBWRADDRU5=32
  • ADDRBWRADDRU6=32
  • ADDRBWRADDRU7=32
  • ADDRBWRADDRU8=32
  • ADDRBWRADDRU9=32
  • CLKARDCLKL=32
  • CLKARDCLKU=32
  • CLKBWRCLKL=32
  • CLKBWRCLKU=32
  • DIADI0=32
  • DIADI1=32
  • DIADI10=32
  • DIADI11=32
  • DIADI12=32
  • DIADI13=32
  • DIADI14=32
  • DIADI15=32
  • DIADI16=32
  • DIADI17=32
  • DIADI18=32
  • DIADI19=32
  • DIADI2=32
  • DIADI20=32
  • DIADI21=32
  • DIADI22=32
  • DIADI23=32
  • DIADI24=32
  • DIADI25=32
  • DIADI26=32
  • DIADI27=32
  • DIADI28=32
  • DIADI29=32
  • DIADI3=32
  • DIADI30=32
  • DIADI31=32
  • DIADI4=32
  • DIADI5=32
  • DIADI6=32
  • DIADI7=32
  • DIADI8=32
  • DIADI9=32
  • DIBDI0=32
  • DIBDI1=32
  • DIBDI10=32
  • DIBDI11=32
  • DIBDI12=32
  • DIBDI13=32
  • DIBDI14=32
  • DIBDI15=32
  • DIBDI16=32
  • DIBDI17=32
  • DIBDI18=32
  • DIBDI19=32
  • DIBDI2=32
  • DIBDI20=32
  • DIBDI21=32
  • DIBDI22=32
  • DIBDI23=32
  • DIBDI24=32
  • DIBDI25=32
  • DIBDI26=32
  • DIBDI27=32
  • DIBDI28=32
  • DIBDI29=32
  • DIBDI3=32
  • DIBDI30=32
  • DIBDI31=32
  • DIBDI4=32
  • DIBDI5=32
  • DIBDI6=32
  • DIBDI7=32
  • DIBDI8=32
  • DIBDI9=32
  • DIPADIP0=32
  • DIPADIP1=32
  • DIPADIP2=32
  • DIPADIP3=32
  • DIPBDIP0=32
  • DIPBDIP1=32
  • DIPBDIP2=32
  • DIPBDIP3=32
  • DOADO0=32
  • DOADO1=32
  • DOADO10=32
  • DOADO11=32
  • DOADO12=32
  • DOADO13=32
  • DOADO14=32
  • DOADO15=32
  • DOADO16=32
  • DOADO17=32
  • DOADO18=32
  • DOADO19=32
  • DOADO2=32
  • DOADO20=32
  • DOADO21=32
  • DOADO22=32
  • DOADO23=32
  • DOADO24=32
  • DOADO25=32
  • DOADO26=32
  • DOADO27=32
  • DOADO28=32
  • DOADO29=32
  • DOADO3=32
  • DOADO30=32
  • DOADO31=32
  • DOADO4=32
  • DOADO5=32
  • DOADO6=32
  • DOADO7=32
  • DOADO8=32
  • DOADO9=32
  • DOBDO0=28
  • DOBDO1=28
  • DOBDO10=28
  • DOBDO11=28
  • DOBDO12=28
  • DOBDO13=28
  • DOBDO14=28
  • DOBDO15=28
  • DOBDO16=28
  • DOBDO17=28
  • DOBDO18=28
  • DOBDO19=28
  • DOBDO2=28
  • DOBDO20=28
  • DOBDO21=28
  • DOBDO22=28
  • DOBDO23=28
  • DOBDO24=28
  • DOBDO25=28
  • DOBDO26=28
  • DOBDO27=28
  • DOBDO28=28
  • DOBDO29=28
  • DOBDO3=28
  • DOBDO30=28
  • DOBDO31=28
  • DOBDO4=28
  • DOBDO5=28
  • DOBDO6=28
  • DOBDO7=28
  • DOBDO8=28
  • DOBDO9=28
  • DOPADOP0=31
  • DOPADOP1=30
  • DOPADOP2=30
  • DOPADOP3=30
  • DOPBDOP0=28
  • DOPBDOP1=28
  • DOPBDOP2=28
  • DOPBDOP3=28
  • ENARDENL=32
  • ENARDENU=32
  • ENBWRENL=32
  • ENBWRENU=32
  • INJECTDBITERR=4
  • INJECTSBITERR=4
  • REGCEAREGCEL=32
  • REGCEAREGCEU=32
  • REGCEBL=32
  • REGCEBU=32
  • REGCLKARDRCLKL=32
  • REGCLKARDRCLKU=32
  • REGCLKBL=32
  • REGCLKBU=32
  • RSTRAMARSTRAML=32
  • RSTRAMARSTRAMU=32
  • RSTRAMBL=32
  • RSTRAMBU=32
  • RSTREGARSTREGL=32
  • RSTREGARSTREGU=32
  • RSTREGBL=32
  • RSTREGBU=32
  • WEAL0=32
  • WEAL1=32
  • WEAL2=32
  • WEAL3=32
  • WEAU0=32
  • WEAU1=32
  • WEAU2=32
  • WEAU3=32
  • WEBWEL0=32
  • WEBWEL1=32
  • WEBWEL2=32
  • WEBWEL3=32
  • WEBWEL4=32
  • WEBWEL5=32
  • WEBWEL6=32
  • WEBWEL7=32
  • WEBWEU0=32
  • WEBWEU1=32
  • WEBWEU2=32
  • WEBWEU3=32
  • WEBWEU4=32
  • WEBWEU5=32
  • WEBWEU6=32
  • WEBWEU7=32
REG_INIT
  • CE=6528
  • CK=16388
  • D=16388
  • Q=16388
  • SR=13476
SELMUX2_1
  • 0=308
  • 1=308
  • OUT=308
  • S0=308
SLICEL
  • A=1578
  • A1=1758
  • A2=2639
  • A3=2982
  • A4=3324
  • A5=3898
  • A6=3480
  • AMUX=2019
  • AQ=4504
  • AX=2883
  • B=1645
  • B1=1600
  • B2=2429
  • B3=2718
  • B4=2986
  • B5=3584
  • B6=3156
  • BMUX=2064
  • BQ=3966
  • BX=2404
  • C=907
  • C1=1479
  • C2=2094
  • C3=2373
  • C4=2547
  • C5=3101
  • C6=2680
  • CE=2174
  • CIN=197
  • CLK=5594
  • CMUX=1875
  • COUT=198
  • CQ=4001
  • CX=2730
  • D=1303
  • D1=1784
  • D2=2340
  • D3=2627
  • D4=2832
  • D5=3401
  • D6=2988
  • DMUX=2099
  • DQ=3485
  • DX=1991
  • SR=4510
SLICEM
  • A=334
  • A1=474
  • A2=477
  • A3=481
  • A4=482
  • A5=482
  • A6=471
  • AI=385
  • AMUX=439
  • AQ=146
  • AX=455
  • B=344
  • B1=482
  • B2=482
  • B3=484
  • B4=484
  • B5=484
  • B6=475
  • BI=376
  • BMUX=422
  • BQ=132
  • BX=437
  • C=327
  • C1=453
  • C2=456
  • C3=459
  • C4=459
  • C5=460
  • C6=457
  • CE=496
  • CI=384
  • CIN=1
  • CLK=496
  • CMUX=426
  • CQ=128
  • CX=445
  • D=6
  • D1=477
  • D2=479
  • D3=481
  • D4=482
  • D5=482
  • D6=484
  • DI=454
  • DMUX=423
  • DQ=26
  • DX=445
  • SR=11
  • WE=1
 
Tool Usage
Command Line History
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -aul -nt timestamp -uc <fname>.ucf -p xc7k325t-ffg900-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc7k325t-ffg900-2 -w -logic_opt on -ol high -xe n -t 2 -xt 0 -register_duplication on -r 4 -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -xe n -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
 
Software Quality
Run Statistics
Program NameRuns StartedRuns FinishedErrorsFatal ErrorsInternal ErrorsExceptionsCore Dumps
_impact 22 21 0 0 0 0 0
bitgen 34 31 0 0 0 0 0
bitinit 18 18 0 0 0 0 0
cse_server 7 7 0 0 0 0 0
elfcheck 80 80 0 0 0 0 0
libgen 1 1 0 0 0 0 0
map 59 54 0 0 0 0 0
ngcbuild 28 28 0 0 0 0 0
ngdbuild 46 46 0 0 0 0 0
par 54 52 0 0 0 0 0
platgen 5 5 0 0 0 0 0
psf2Edward 1 1 0 0 0 0 0
trce 48 48 0 0 0 0 0
xdsgen 1 1 0 0 0 0 0
xps 44 7 0 0 0 0 0
xst 76 75 0 0 0 0 0
 
Project Statistics
PROPEXT_xilxBitgCfg_Rate_virtex7=22 PROP_Enable_Message_Filtering=false
PROP_FitterReportFormat=HTML PROP_LastAppliedGoal=Timing Performance
PROP_LastAppliedStrategy=SmartXplorer - maplogicoptregdup;E:/mat/SKY_PCIE/ak7_map_ges/ISE_t28_core_100_141/maplogicoptregdup.xds PROP_ManualCompileOrderImp=false
PROP_MapLogicOptimization_virtex5=true PROP_MapPlacerCostTable_virtex5=2
PROP_PropSpecInProjFile=Store all values PROP_Simulator=Modelsim-PE VHDL
PROP_SynthTopFile=changed PROP_Top_Level_Module_Type=HDL
PROP_UseSmartGuide=false PROP_UserBrowsedStrategyFiles=E:/mat/SKY_PCIE/ak7_map_ges/ISE_t28_core_100_141/maplogicoptregdup.xds
PROP_UserConstraintEditorPreference=Text Editor PROP_bitg_SetSPIConfigBusWidth=4
PROP_intProjectCreationTimestamp=2012-06-27T19:24:50 PROP_intWbtProjectID=CD3048388ACD4377926B999338429CD3
PROP_intWbtProjectIteration=17 PROP_intWorkingDirLocWRTProjDir=Same
PROP_intWorkingDirUsed=No PROP_xilxNgdbldMacro=changed
PROP_xilxNgdbld_AUL=true PROP_xstNetlistHierarchy=Rebuilt
PROP_AutoTop=true PROP_DevFamily=Kintex7
PROP_MapExtraEffort_virtex5=Normal PROP_MapRegDuplication_virtex5=On
PROP_ibiswriterOutputFile=SKY_PCIE_AK7 PROPEXT_xilxPARextraEffortLevel_virtex5=Normal
PROP_DevDevice=xc7k325t PROP_DevFamilyPMName=kintex7
PROP_DevPackage=ffg900 PROP_Synthesis_Tool=XST (VHDL/Verilog)
PROP_DevSpeed=-2 PROP_PreferredLanguage=VHDL
PROP_netgenPostMapSimModelName=SKY_PCIE_AK7_map.vhd PROP_netgenPostParSimModelName=SKY_PCIE_AK7_timesim.vhd
PROP_netgenPostSynthesisSimModelName=SKY_PCIE_AK7_synthesis.vhd PROP_netgenPostXlateSimModelName=SKY_PCIE_AK7_translate.vhd
PROP_netgenRenameTopLevEntTo=SKY_PCIE_AK7 FILE_UCF=1
FILE_VERILOG=76 FILE_VHDL=25
 
Core Statistics
Core Type=mig_7series_v1_5
AXI_ENABLE=0 BURST_MODE=8 BURST_TYPE=SEQ CA_MIRROR=OFF
CLKIN_PERIOD=5000 CLK_PERIOD=1250 DATA_MASK=1 DEBUG_PORT=OFF
DQ_WIDTH=64 ECC=OFF INTERFACE_TYPE=DDR3 INTERNAL_VREF=0
LANGUAGE=Verilog LEVEL=CONTROLLER MEMORY_ADDRESS_MAP=ROW_BANK_COLUMN MEMORY_PART=mt8jtf12864hz-1g6
MEMORY_TYPE=SODIMM NO_OF_CONTROLLERS=1 ORDERING=NORM OUTPUT_DRV=HIGH
PHY_RATIO=4 REFCLK_FREQ=200 REFCLK_TYPE=SINGLE_ENDED RTT_NOM=60
SYNTHESIS_TOOL=Foundation_ISE SYSCLK_TYPE=SINGLE_ENDED USE_CS_PORT=1 USE_ODT_PORT=1
VCCAUX_IO=2.0V
Core Type=pcie_7x_v1_4
DEV_CAP_EXT_TAG_SUPPORTED=FALSE DEV_CAP_MAX_PAYLOAD_SUPPORTED=1 DEV_CAP_PHANTOM_FUNCTIONS_SUPPORT=0 DISABLE_LANE_REVERSAL=TRUE
DISABLE_SCRAMBLING=FALSE DSN_CAP_ON=TRUE ENABLE_RX_TD_ECRC_TRIM=TRUE LINK_CAP_MAX_LINK_SPEED=2
LINK_CAP_MAX_LINK_WIDTH=08 LINK_STATUS_SLOT_CLOCK_CONFIG=TRUE MSIX_CAP_ON=FALSE MSI_CAP_MULTIMSGCAP=0
MSI_CAP_MULTIMSG_EXTENSION=0 MSI_CAP_ON=TRUE PCIE_CAP_DEVICE_PORT_TYPE=0000 REF_CLK_FREQ=0
REVISION_ID=00 TL_RX_RAM_RADDR_LATENCY=0 TL_RX_RAM_RDATA_LATENCY=2 TL_RX_RAM_WRITE_LATENCY=0
TL_TX_RAM_RADDR_LATENCY=0 TL_TX_RAM_RDATA_LATENCY=2 USER_CLK_FREQ=4 VC0_CPL_INFINITE=TRUE
VC0_RX_RAM_LIMIT=3FF VC0_TOTAL_CREDITS_CD=205 VC0_TOTAL_CREDITS_CH=36 VC0_TOTAL_CREDITS_NPD=24
VC0_TOTAL_CREDITS_NPH=12 VC0_TOTAL_CREDITS_PD=181 VC0_TOTAL_CREDITS_PH=32 VC0_TX_LASTPACKET=28
VC_CAP_ON=FALSE
 
Unisim Statistics
XST_UNISIM_SUMMARY
XST_NUM_BUFG=1 XST_NUM_BUFGCTRL=1 XST_NUM_BUFH=1 XST_NUM_BUFIO=1
XST_NUM_IBUF=1 XST_NUM_IBUFGDS=1 XST_NUM_IDELAYCTRL=1 XST_NUM_IDELAYE2=16
XST_NUM_ISERDESE2=16 XST_NUM_OBUF=1 XST_NUM_OBUFDS=1 XST_NUM_OBUFT=1
XST_NUM_ODDR=5 XST_NUM_OSERDESE2=43 XST_NUM_RAM32M=187 XST_NUM_RAMB36E1=1
XST_NUM_SRLC32E=1
NGDBUILD_PRE_UNISIM_SUMMARY
NGDBUILD_NUM_BUF=107 NGDBUILD_NUM_BUFG=6 NGDBUILD_NUM_BUFGCTRL=1 NGDBUILD_NUM_BUFHCE=1
NGDBUILD_NUM_BUFIO=3 NGDBUILD_NUM_FD=3147 NGDBUILD_NUM_FDC=7079 NGDBUILD_NUM_FDCE=6764
NGDBUILD_NUM_FDE=677 NGDBUILD_NUM_FDP=65 NGDBUILD_NUM_FDPE=77 NGDBUILD_NUM_FDR=2659
NGDBUILD_NUM_FDRE=1499 NGDBUILD_NUM_FDS=238 NGDBUILD_NUM_FDSE=68 NGDBUILD_NUM_GND=38
NGDBUILD_NUM_GTXE2_CHANNEL=8 NGDBUILD_NUM_GTXE2_COMMON=2 NGDBUILD_NUM_IBUF=20 NGDBUILD_NUM_IBUFDS_GTE2=1
NGDBUILD_NUM_IBUFGDS=1 NGDBUILD_NUM_IDELAYCTRL=1 NGDBUILD_NUM_IDELAYE2=64 NGDBUILD_NUM_INV=379
NGDBUILD_NUM_IN_FIFO=8 NGDBUILD_NUM_IOBUF_DCIEN=64 NGDBUILD_NUM_ISERDESE2=64 NGDBUILD_NUM_LUT1=334
NGDBUILD_NUM_LUT2=2128 NGDBUILD_NUM_LUT3=6629 NGDBUILD_NUM_LUT4=1358 NGDBUILD_NUM_LUT5=3100
NGDBUILD_NUM_LUT6=3658 NGDBUILD_NUM_MMCME2_ADV=2 NGDBUILD_NUM_MUXCY=956 NGDBUILD_NUM_MUXF7=329
NGDBUILD_NUM_OBUF=51 NGDBUILD_NUM_OBUFDS=1 NGDBUILD_NUM_OBUFT=8 NGDBUILD_NUM_ODDR=17
NGDBUILD_NUM_OSERDESE2=103 NGDBUILD_NUM_OUT_FIFO=11 NGDBUILD_NUM_PCIE_2_1=1 NGDBUILD_NUM_PHASER_IN_PHY=8
NGDBUILD_NUM_PHASER_OUT_PHY=11 NGDBUILD_NUM_PHASER_REF=3 NGDBUILD_NUM_PHY_CONTROL=3 NGDBUILD_NUM_PLLE2_ADV=1
NGDBUILD_NUM_RAM128X1D=4 NGDBUILD_NUM_RAM32M=420 NGDBUILD_NUM_RAM32X1D=38 NGDBUILD_NUM_RAMB18E1=9
NGDBUILD_NUM_RAMB36E1=32 NGDBUILD_NUM_SRLC16E=98 NGDBUILD_NUM_SRLC32E=1 NGDBUILD_NUM_VCC=37
NGDBUILD_NUM_XORCY=768
NGDBUILD_POST_UNISIM_SUMMARY
NGDBUILD_NUM_BUF=107 NGDBUILD_NUM_BUFG=6 NGDBUILD_NUM_BUFGCTRL=1 NGDBUILD_NUM_BUFHCE=1
NGDBUILD_NUM_BUFIO=3 NGDBUILD_NUM_FD=3147 NGDBUILD_NUM_FDC=7079 NGDBUILD_NUM_FDCE=6764
NGDBUILD_NUM_FDE=677 NGDBUILD_NUM_FDP=65 NGDBUILD_NUM_FDPE=77 NGDBUILD_NUM_FDR=2659
NGDBUILD_NUM_FDRE=1499 NGDBUILD_NUM_FDS=238 NGDBUILD_NUM_FDSE=68 NGDBUILD_NUM_GND=38
NGDBUILD_NUM_GTXE2_CHANNEL=8 NGDBUILD_NUM_GTXE2_COMMON=2 NGDBUILD_NUM_IBUF=29 NGDBUILD_NUM_IBUFDS_GTE2=1
NGDBUILD_NUM_IBUFDS_IBUFDISABLE=8 NGDBUILD_NUM_IBUFGDS=1 NGDBUILD_NUM_IBUF_IBUFDISABLE=64 NGDBUILD_NUM_IDELAYCTRL=1
NGDBUILD_NUM_IDELAYE2=64 NGDBUILD_NUM_INV=379 NGDBUILD_NUM_IN_FIFO=8 NGDBUILD_NUM_ISERDESE2=64
NGDBUILD_NUM_LUT1=334 NGDBUILD_NUM_LUT2=2128 NGDBUILD_NUM_LUT3=6629 NGDBUILD_NUM_LUT4=1358
NGDBUILD_NUM_LUT5=3100 NGDBUILD_NUM_LUT6=3658 NGDBUILD_NUM_MMCME2_ADV=2 NGDBUILD_NUM_MUXCY=956
NGDBUILD_NUM_MUXF7=329 NGDBUILD_NUM_OBUF=51 NGDBUILD_NUM_OBUFDS=1 NGDBUILD_NUM_OBUFT=8
NGDBUILD_NUM_ODDR=17 NGDBUILD_NUM_OSERDESE2=103 NGDBUILD_NUM_OUT_FIFO=11 NGDBUILD_NUM_PCIE_2_1=1
NGDBUILD_NUM_PHASER_IN_PHY=8 NGDBUILD_NUM_PHASER_OUT_PHY=11 NGDBUILD_NUM_PHASER_REF=3 NGDBUILD_NUM_PHY_CONTROL=3
NGDBUILD_NUM_PLLE2_ADV=1 NGDBUILD_NUM_RAM32M=420 NGDBUILD_NUM_RAMB18E1=9 NGDBUILD_NUM_RAMB36E1=32
NGDBUILD_NUM_SRLC16E=98 NGDBUILD_NUM_SRLC32E=1 NGDBUILD_NUM_TS_TIMESPEC=1 NGDBUILD_NUM_VCC=37
NGDBUILD_NUM_XORCY=768
 
XST Command Line Options
XST_OPTION_SUMMARY
-ifn=<fname>.prj -ofn=<design_top> -ofmt=NGC -p=xc7k325t-2-ffg900
-top=<design_top> -opt_mode=Speed -opt_level=1 -power=NO
-iuc=NO -keep_hierarchy=No -netlist_hierarchy=Rebuilt -rtlview=Yes
-glob_opt=AllClockNets -read_cores=YES -write_timing_constraints=NO -cross_clock_analysis=NO
-bus_delimiter=<> -slice_utilization_ratio=100 -bram_utilization_ratio=100 -dsp_utilization_ratio=100
-reduce_control_sets=Auto -fsm_extract=YES -fsm_encoding=Auto -safe_implementation=No
-fsm_style=LUT -ram_extract=Yes -ram_style=Auto -rom_extract=Yes
-shreg_extract=YES -rom_style=Auto -auto_bram_packing=NO -resource_sharing=YES
-async_to_sync=NO -use_dsp48=Auto -iobuf=YES -max_fanout=100000
-bufg=32 -register_duplication=YES -register_balancing=No -optimize_primitives=NO
-use_clock_enable=Auto -use_sync_set=Auto -use_sync_reset=Auto -iob=Auto
-equivalent_register_removal=YES -slice_utilization_ratio_maxmargin=5